NATIONAL INSTRUMENTS FlexRIO Custom Instrumentation Module User Guide

June 12, 2024
NATIONAL INSTRUMENTS

NATIONAL-INSTRUMENTS-logo

NATIONAL INSTRUMENTS FlexRIO Custom Instrumentation Module

Product Information

The NI-5731 is a FlexRIO Custom Instrumentation product offered by National Instruments. It is a versatile solution that allows for custom instrument design without the need for extensive custom design work. The FlexRIO Custom Instrumentation offers two different architectures to cater to various target applications. It provides flexibility and scalability for test and measurement needs.

Target Applications:
The FlexRIO Custom Instrumentation is designed to be used in a variety of applications, including digital interfacing, communication with converters, and data communication using high-speed serial interfaces.

The Two FlexRIO Architectures:
The FlexRIO Custom Instrumentation offers two architectures:

  1. FlexRIO with Integrated I/O – Suitable for traditional converters with single-ended or LVDS interfaces for data communication.
  2. FlexRIO with Modular I/O – Designed to interface with the industry’s latest high-speed converters based on high-speed serial interfaces running protocols like JESD204B.

Key Advantages of FlexRIO:

  • Custom Solutions Without Custom Design
  • Flexibility and Scalability
  • Support for High-Speed Serial Interfaces
  • Integration with Xilinx Ultra Scale FPGAs
  • PCI Express Gen 3 x8 Connectivity
  • Synchronization Capabilities

Flex RIO With Integrated I/O:
FPGA Carrier Options:

FPGA Form Factor LUTs/FFs DSP48s BRAM (Mb) DRAM (GB) PCIe Aux I/O
Xilinx Kintex Ultra Scale KU035 PXIe 406,256 1700 19 0 Gen 3 x8 8 GPIO
Xilinx Kintex Ultra Scale KU035 PCIe 406,256 1700 19 4 Gen 3 x8 8 GPIO
Xilinx Kintex Ultra Scale KU040 PXIe 484,800 1920 21.1 4 Gen 3 x8 8

GPIO, 4 HSS
Xilinx Kintex Ultra Scale KU040| PCIe| 484,800| 1920| 21.1| 4| Gen 3 x8 8 GPIO, 4 HSS
Xilinx Kintex UltraScale KU060| PXIe| 663,360| 2760| 38| 4| Gen 3 x8 8 GPIO, 4 HSS
Xilinx Kintex Ultra Scale KU060| PCIe| 663,360| 2760| 38| 4| Gen 3 x8 8 GPIO, 4 HSS

Product Usage Instructions

To use the FlexRIO Custom Instrumentation, follow these  steps:

  1. Select the appropriate FlexRIO architecture based on your application requirements. Choose between FlexRIO with Integrated I/O or FlexRIO with Modular I/O.
  2. If using FlexRIO with Integrated I/O, choose the FPGA carrier option that best suits your needs based on the number of FPGA resources required.
  3. Ensure proper connectivity by utilizing the provided PCI Express Gen 3 x8 connectivity.
  4. If synchronization is required for your application, refer to the documentation for guidelines on synchronizing multiple modules in a system.

For further assistance or any questions, please contact the product manufacturer.

COMPREHENSIVE SERVICES

  • INSTRUMENTS We offer competitive repair and calibration services, as well as easily accessible documentation and free downloadable resources.

SELL YOUR SURPLUS
We buy new, used, decommissioned, and surplus parts from every NI series. We work out the best solution to suit your individual needs.

  • Sell For Cash MM.
  • Get Credit
  • Receive a Trade-In Deal

OBSOLETE NI HARDWARE IN STOCK & READY TO SHIP
We stock New, New Surplus, Refurbished, and Reconditioned NI Hardware.

Bridging the gap between the manufacturer and your legacy test system.
1-800-915-6216
www.apexwaves.com
sales@apexwaves.com
All trademarks, brands, and brand names are the property of their respective owners.
Request a Quote Click here: NI-5731

FlexRIO Custom Instrumentation

NATIONAL-INSTRUMENTS-FlexRIO-Custom-Instrumentation-
Module-1

  • Software: Includes example programs for programming FPGAs with LabVIEW, Host APIs for LabVIEW and C/C++, I/O module specific shipping examples, and detailed help files
  • LabVIEW-programmable Xilinx Kintex UltraScale, Kintex-7, and Virtex-5 FPGAs with up to 4 GB of onboard DRAM
  • Analog I/O up to 6.4 GS/s, Digital I/O up to 1 Gbps, RF I/O up to 4.4 GHz
  • Custom I/O with FlexRIO Module Development Kit (MDK)
  • Data streaming up to 7 GB/s and multi-module synchronization with NI-TClk
  • PXI, PCIe, and stand-alone form-factors available

Custom Solutions Without Custom Design
The FlexRIO product line was designed for engineers and scientists who need the flexibility of custom hardware without the cost of custom design. Featuring large, user-programmable FPGAs and high-speed analog, digital, and RF I/O, FlexRIO provides a fully reconfigurable instrument that you can program graphically with LabVIEW or with VHDL/Verilog.
FlexRIO products are available in two architectures. The first architecture incorporates modular I/O modules that attach to the front of a PXI FPGA Module for FlexRIO and communicate over a parallel digital interface, and the second uses high-speed serial converters and features integrated I/O and Xilinx UltraScale FPGA technology in a single device.

Target Applications

  • Scientific and medical instrumentation
  • RADAR/LIDAR
  • Signals intelligence
  • Communications
  • Medical imaging
  • Accelerator monitoring/control
  • Protocol communication/emulation

The Two FlexRIO Architectures

A key advantage of the FlexRIO product line is you can use the latest high- speed converter technologies before they are widely available in traditional commercial-off-the-shelf (COTS) instruments. This is particularly valuable in applications that continue to push requirements for sample rate, bandwidth, resolution, and channel count.
The original FlexRIO architecture relies on modular FlexRIO Adapter Modules that communicate with PXI FPGA Modules for FlexRIO over a wide, parallel digital interface capable of LVDS communication up to 1 Gbps on up to 66 differential pairs.

NATIONAL-INSTRUMENTS-FlexRIO-Custom-Instrumentation-
Module-2

Figure 1. FlexRIO with modular I/O consists of a FlexRIO adapter module for analog, RF, or digital I/O, and a PXI FPGA Module for FlexRIO with LabVIEW- programmable Virtex-5 or Kintex-7 FPGAs.
While this architecture is well suited for digital interfacing and communication with converters over LVDS, converter technology is evolving to incorporate new standards. More specifically, converter manufacturers are moving toward high-speed serial interfaces for their highest performance parts to overcome common issues associated with parallel buses, including meeting static timing at higher clock rates.

NATIONAL-INSTRUMENTS-FlexRIO-Custom-Instrumentation-
Module-3

Figure 2. The original FlexRIO architecture was well suited for traditional converters with single-ended or LVDS interfaces for data communication. The new FlexRIO architecture was designed to interface with the industry’s latest high-speed converters based on high-speed serial interfaces running protocols like JESD204B.
To meet these requirements, a second FlexRIO architecture based on Xilinx UltraScale FPGAs and integrated I/O was created to support converters that leverage the JESD204B standard for data communication.

NATIONAL-INSTRUMENTS-FlexRIO-Custom-Instrumentation-
Module-4'

Figure 3. The new high-speed serial FlexRIO products are comprised of a mezzanine I/O module mated to a Xilinx UltraScale FPGA carrier.

FlexRIO With Integrated I/O

These FlexRIO modules consist of two integrated parts: a mezzanine I/O module that contains high-performance analog-to-digital converters (ADCs), digital- to-analog converters (DACs), or high-speed serial connectivity, and an FPGA carrier for user-defined signal processing. The mezzanine I/O module and FPGA carrier communicate over a high-density connector that supports eight Xilinx GTH multigigabit transceivers, a dedicated GPIO interface for configuration of the I/O module, and several pins for routing clocks and triggers.
The products based on this architecture are identified by a model number corresponding to the mezzanine I/O module, and users can then choose the FPGA carrier that best meets their requirements. For example, the PXIe-5764 is a 16-bit FlexRIO Digitizer that samples four channels simultaneously at 1 GS/s. You can pair the PXIe-5764 with one of the three FPGA carrier options detailed in Table 1. The PXIe-5763 is another 16-bit FlexRIO Digitizer that samples four channels simultaneously at 500 MS/s, and the FPGA carrier options are the same.

FPGA Carrier Options
Table 1. When choosing a FlexRIO module with integrated I/O, you have a choice of up to three different FPGAs, depending on the number of FPGA resources you need.

FPGA Form Factor LUTs/FFs DSP48s BRAM (Mb) DRAM (GB) PCIe Aux I/O
Xilinx Kintex UltraScale KU035 PXIe 406,256 1700 19 0 Gen 3 x8 8 GPIO
Xilinx Kintex UltraScale KU035 PCIe 406,256 1700 19 4 Gen 3 x8 8 GPIO
Xilinx Kintex UltraScale KU040 PXIe 484,800 1920 21.1 4 Gen 3 x8 8

GPIO, 4 HSS
Xilinx Kintex UltraScale KU040| PCIe| 484,800| 1920| 21.1| 4| Gen 3 x8| 8 GPIO, 4 HSS
Xilinx Kintex UltraScale KU060| PXIe| 663,360| 2760| 38| 4| Gen 3 x8| 8 GPIO, 4 HSS
Xilinx Kintex UltraScale KU060| PCIe| 663,360| 2760| 38| 4| Gen 3 x8| 8 GPIO, 4 HSS

Auxiliary I/O
All three carriers feature front-panel auxiliary digital I/O through a Molex Nano-Pitch I/O connector for triggering or digital interfacing. On the larger FPGAs, four additional GTH multigigabit transceivers, each capable of data streaming up to 16 Gbps, are routed to the Nano-Pitch I/O connector. These transceivers can be used for high-bandwidth communication with other devices over high-speed serial protocols such as Xilinx Aurora, 10 Gigabit Ethernet UDP, 40 Gigabit Ethernet UDP, or Serial Front Panel Data Port
(SFPDP).

PCI Express Gen 3 x8 Connectivity
The new FlexRIO modules are equipped with PCI Express Gen 3 x8 connectivity, making them capable of streaming up to 7 GB/s via DMA to/from CPU memory, or with NI peer-to-peer streaming technology, you can stream data between two modules in a chassis without passing data through host memory. Learn more about peer-to-peer technology.
Synchronization
Synchronizing multiple modules in a system is often the most difficult part of designing high-channel-count solutions. Many COTS vendors have solutions for synchronization that don’t scale, and with custom designs, it can take significant expertise to meet common requirements for repeatable phase alignment across channels. PXI FlexRIO modules take advantage of the inherent timing and synchronization capabilities of the PXI platform, directly accessing the clocks and trigger routes shared with other instruments. PXI enables you to synchronize an entire chassis full of FlexRIO devices with subsample timing jitter between samples from different modules. This is achieved through sharing reference clocks on the backplane and a patented NI technology called NI-TClk, which orchestrates synchronization to ensure all modules are aligned to the same start trigger. Learn more about NI-TClk technology.

Streaming Driver
FlexRIO modules with integrated I/O are supported in the FlexRIO streaming driver, which was designed to support basic digitizer and arbitrary waveform generator functionality without requiring FPGA programming. The driver supports finite or continuous acquisition/generation on any high-speed serial FlexRIO products with analog I/O and is intended as a high-level starting point before further customization on the FPGA. In addition to basic streaming functionality, you can use the driver for configuration of the I/O module’s analog front end, clocking, and even direct register reads/writes to the ADCs or DACs.

FlexRIO Coprocessor Modules
FlexRIO Coprocessor Modules add signal processing capability to existing systems and are capable of high-bandwidth streaming over the backplane or through four high-speed serial ports on the front panel. When paired with another PXI instrument such as the PXIe-5840 vector signal transceiver, FlexRIO Coprocessor Modules provide the FPGA resources necessary to run complex algorithms in real time.
Table 2. There are three dedicated UltraScale coprocessor modules available for applications requiring additional DSP capability.

Model FPGA PCIe Aux I/O
PXIe-7911 Kintex UltraScale KU035 Gen 3 x8 None
PXIe-79121 Kintex UltraScale KU040 Gen 3 x8 8 GPIO, 4 HSS
PXIe-79151 Kintex UltraScale KU060 Gen 3 x8 8 GPIO, 4 HSS

FlexRIO Transceiver Modules
FlexRIO Transceiver Modules feature high-performance ADCs and DACs with lightweight analog front-ends designed to maximize bandwidth and dynamic range.

Model| Channels| Sample Rate| Resolution| Coupling| AI Bandwidth| AO
Bandwidth| FPGA Options
---|---|---|---|---|---|---|---
PXIe-57851| 2 AI
2 AO| 6.4 GS/s – 1 Ch
3.2 GS/s/ch – 2 Ch| 12-bit| AC| 6 GHz| 2.85 GHz| KU035, KU040, KU060
PCIe-5785| 2 AI
2 AO| 6.4 GS/s – 1 Ch
3.2 GS/s/ch – 2 Ch| 12-bit| AC| 6 GHz| 2.85 GHz| KU035, KU040, KU060

FlexRIO Digitizer Modules
FlexRIO Digitizer Modules feature high-performance ADCs with lightweight analog front-ends designed to maximize bandwidth and dynamic range. All digitizer modules also feature an auxiliary I/O connector with eight GPIO for triggering or digital interfacing and the option for high-speed serial communication.

Model Channels Sample Rate Resolution Coupling Bandwidth FPGA Options
PXIe-57631 4 500 MS/s 16 bits AC or DC 227 MHz KU035, KU040, KU060
PCIe-5763 4 500 MS/s 16 bits AC or DC 227 MHz KU035, KU040, KU060
PXIe-57641 4 1 GS/s 16 bits AC or DC 400 MHz KU035, KU040, KU060
PCIe-5764 4 1 GS/s 16 bits AC or DC 400 MHz KU035, KU040, KU060
PXIe-5774 2 6.4 GS/s – 1 Ch
3.2 GS/s/ch – 2 Ch 12 bits DC 1.6 GHz or 3 GHz KU040, KU060
PCIe-5774 2 6.4 GS/s – 1 Ch
3.2 GS/s/ch – 2 Ch 12 bits DC 1.6 GHz or 3 GHz KU035, KU060
PXIe-5775 2 6.4 GS/s – 1 Ch
3.2 GS/s/ch – 2 Ch 12 bits AC 6 GHz KU035, KU040, KU060
PCIe-5775 2 6.4 GS/s – 1 Ch
3.2 GS/s/ch – 2 Ch 12 bits AC 6 GHz KU035, KU040, KU060

FlexRIO Signal Generator Modules
FlexRIO Signal Generator Modules feature high-performance DACs with lightweight analog front-ends designed to maximize bandwidth and dynamic range.

Model| Channels| Sample Rate| Resolution| Coupling| Bandwidth| Connectivity| FPGA Options
---|---|---|---|---|---|---|---
PXIe-57451| 2| 6.4 GS/s – 1 Ch
3.2 GS/s/ch – 2 Ch| 12 bits| AC| 2.9 GHz| SMA| KU035, KU040, KU060

Requires the use of a chassis with slot cooling capacity ≥ 58 W, such as the PXIe-1095

FlexRIO With Modular I/O

These FlexRIO products are comprised of two parts: a modular, high-performance I/O called a FlexRIO Adapter Module, and a powerful FlexRIO FPGA Module. Together, these parts form a fully reconfigurable instrument that can be programmed graphically with LabVIEW or with Verilog/VHDL. FlexRIO FPGA Modules can also be used with NI Peer-to-Peer streaming to add inline digital signal processing (DSP) capability to a traditional instrument.

NATIONAL-INSTRUMENTS-FlexRIO-Custom-Instrumentation-
Module-5

Figure 4: Adapter modules can be used with either a PXI FPGA Module for FlexRIO or Controller for FlexRIO.

PXI FPGA Modules for FlexRIO
NI’s FlexRIO FPGA Module portfolio is highlighted by the PXIe-7976R and the NI 7935R Controller for FlexRIO, which both feature large DSP-focused Xilinx Kintex-7 410T FPGAs and 2 GB of onboard DRAM. With all of the benefits of the PXI platform, PXI FPGA Modules for FlexRIO are ideal for systems requiring high-performance data streaming, synchronization, processing, and high channel density. For applications that require reduced size, weight, and power for deployment, the Controller for FlexRIO utilizes the same modular I/O and FPGA in a stand-alone package with high-speed serial connectivity and an integrated dual-core ARM processor running NI Linux Real-Time.
Table 3. NI offers FPGA Modules for FlexRIO with a variety of different FPGAs and form factors.

Model| FPGA| FPGA Slices| FPGA DSP Slices| FPGA
Block RAM (Kbits)| Onboard Memory| Streaming Throughput| Form-Factor
---|---|---|---|---|---|---|---
PXIe-7976R| Kintex-7 K410T| 63,550| 1,540| 28,620| 2 GB| 3.2 GB/s| PXI Express
PXIe-7975R| Kintex-7 K410T| 63,550| 1,540| 28,620| 2 GB| 1.7 GB/s| PXI Express
PXIe-7972R| Kintex-7 K325T| 50,950| 840| 16,020| 2 GB| 1.7 GB/s| PXI Express
PXIe-7971R| Kintex-7 K325T| 50,950| 840| 16,020| 0 GB| 1.7 GB/s| PXI Express
NI 7935R| Kintex-7 K410T| 63,550| 1,540| 28,620| 2 GB| 2.4 GB/s (SFP+)| Stand- alone
NI 7932R| Kintex-7 K325T| 50,950| 840| 16,020| 2 GB| 2.4 GB/s (SFP+)| Stand- alone
NI 7931R| Kintex-7 K325T| 50,950| 840| 16,020| 2 GB| 25 MB/s (GbE)| Stand- alone
PXIe-7966R| Virtex-5 SX95T| 14,720| 640| 8,784| 512 MB| 800 MB/s| PXI Express
PXIe-7962R| Virtex-5 SX50T| 8,160| 288| 4,752| 512 MB| 800 MB/s| PXI Express
PXIe-7961R| Virtex-5 SX50T| 8,160| 288| 4,752| 0 MB| 800 MB/s| PXI Express
PXI-7954R| Virtex-5 LX110| 17,280| 64| 4,608| 128 MB| 800 MB/s| PXI
PXI-7953R| Virtex-5 LX85| 12,960| 48| 3,456| 128 MB| 130 MB/s| PXI
PXI-7952R| Virtex-5 LX50| 7,200| 48| 1,728| 128 MB| 130 MB/s| PXI
PXI-7951R| Virtex-5 LX30| 4,800| 32| 1,152| 0 MB| 130 MB/s| PXI

Digitizer Adapter Modules for FlexRIO
Digitizer Adapter Modules for FlexRIO can be used with a PXI FPGA Module for FlexRIO or the Controller for FlexRIO to create a high‐performance instrument with customizable firmware. With sampling rates from 40 MS/s to 3 GS/s and up to 32 channels, these modules cover a wide range of requirements for both time and frequency domain applications. Digitizer Adapter Modules also provide digital I/O capability for interfacing with external hardware.
Table 4. NI offers Digitizer Adapter Modules for FlexRIO with up to 3 GS/s, up to 32 channels, and up to 2 GHz of bandwidth.

Model| Resolution (bits)| Channels| Maximum Sample Rate| Maximum Bandwidth| Coupling| Full-scale Input Range| Connectivity
---|---|---|---|---|---|---|---
NI 5731| 12| 2| 40 MS/s| 120 MHz| AC & DC| 2 Vpp| BNC
NI 5732| 14| 2| 80 MS/s| 110 MHz| AC & DC| 2 Vpp| BNC
NI 5733| 16| 2| 120 MS/s| 117 MHz| AC & DC| 2 Vpp| BNC
NI 5734| 16| 4| 120 MS/s| 117 MHz| AC & DC| 2 Vpp| BNC
NI 5751(B)| 14| 16| 50 MS/s| 26 MHz| DC| 2 Vpp| VHDCI
NI 5752(B)| 12| 32| 50 MS/s| 14 MHz| AC| 2 Vpp| VHDCI
NI 5753| 16| 16| 120 MS/s| 176 MHz| AC or DC| 1.8 Vpp| MCX
NI 5761| 14| 4| 250 MS/s| 500 MHz| AC or DC| 2 Vpp| SMA
NI 5762| 16| 2| 250 MS/s| 250 MHz| AC| 2 Vpp| SMA
NI 5771| 8| 2| 3 GS/s| 900 MHz| DC| 1.3 Vpp| SMA
NI 5772| 12| 2| 1.6 GS/s| 2.2 GHz| AC or DC| 2 Vpp| SMA

Signal Generator Adapter Modules for FlexRIO
Signal Generator Adapter Modules for FlexRIO feature either high or low‐speed analog output and can be paired with a PXI FPGA Module for FlexRIO or the Controller for FlexRIO for custom signal generation. Whether you need to dynamically generate waveforms on the FPGA or stream them across the PXI backplane, these adapter modules are well suited for applications in communications, hardware‐in‐the‐loop (HIL) test, and scientific instrumentation.

Table 5. NI offers Signal Generator Adapter Modules for FlexRIO for both low- speed control and high-speed generation.

Model| Resolution (bits)| Channels| Maximum Sample Rate| Maximum Bandwidth| Coupling| Full-scale Output Range| Signaling| Connectivity
---|---|---|---|---|---|---|---|---
NI 5741| 16| 16| 1 MS/s| 500 kHz| DC| 5 Vpp| Single-ended| VHDCI
NI 5742| 16| 32| 1 MS/s| 500 kHz| DC| 5 Vpp| Single-ended| VHDCI
AT 1120| 14| 1| 2 GS/s| 550 MHz| DC| 4 Vpp| Differential| SMA
AT 1212| 14| 2| 1.25 GS/s| 400 MHz| DC| 4 Vpp| Differential| SMA

Digital Adapter Modules for FlexRIO
Digital I/O Adapter Modules for FlexRIO offer up to 54 channels of configurable digital I/O that can interface with single‐ended, differential, and serial signals at a variety of voltage levels. When combined with a large, user‐programmable FPGA, you can use these modules to solve a variety of challenges, from high‐speed communication with a device under test to emulating custom protocols in real time.
Table 6. NI offers adapter modules for high-speed digital interfacing over both single-ended and differential interfaces.

Model Channels Type of Signaling Maximum Data Rate Voltage Levels (V)
NI 6581(B) 54 Single-ended (SE) 100 Mbps 1.8, 2.5, 3.3, or external

reference
NI 6583| 32 SE, 16 LVDS| SE, and LVDS or mLVDS| 300 Mbps| 1.2 to 3.3 V SE, LVDS
NI 6584| 16| RS-485/422 Full/Half-Duplex| 16 Mbps| 5 V
NI 6585(B)| 32| LVDS| 200 Mbps| LVDS
NI 6587| 20| LVDS| 1 Gbps| LVDS
NI 6589| 20| LVDS| 1 Gbps| LVDS

Transceiver Adapter Modules for FlexRIO
Transceiver Adapter Modules for FlexRIO feature multiple inputs, outputs, and digital I/O lines for applications that require the acquisition and generation of IF or baseband signals with inline, real‐time processing. Example applications include RF modulation and demodulation, channel emulation, signals intelligence, real‐time spectrum analysis, and software defined radio (SDR). Transceiver Adapter Modules also provide digital I/O capability for interfacing with external hardware.

Table 7. Transceiver Adapter Modules are ideal for applications that require high-speed acquisition and generation on the same instrument. Transceiver Adapter Modules are available in both single-ended and differential configurations, with up to 250 MS/s analog input and 1 GS/s analog output.

Model| Channels| Analog Input Resolution (bits)| Maximum Analog Input Sample Rate| Analog Output Resolution (bits)| Maximum Analog Output Sample Rate| Transceiver Bandwidth| Voltage Range| Coupling| Signaling
---|---|---|---|---|---|---|---|---|---
NI 5781| 2 AI, 2 AO| 14| 100 MS/s| 16| 100 MS/s| 40 MHz| 2 Vpp| DC| Differential
NI 5782| 2 AI, 2 AO| 14| 250 MS/s| 16| 1 GS/s| 100 MHz| 2 Vpp| DC or AC| Single-ended
NI 5783| 4 AI, 4 AO| 16| 100 MS/s| 16| 400 MS/s| 40 MHz| 1 Vpp| DC| Single- ended

RF Adapter Modules for FlexRIO
RF Adapter Modules for FlexRIO feature frequency coverage from 200 MHz to 4.4 GHz, with up to 200 MHz instantaneous bandwidth. When paired with a PXI FPGA Module for FlexRIO or the Controller for FlexRIO, you can program the FPGA using LabVIEW to implement custom signal processing, including modulation and demodulation, channel emulation, spectral analysis, and even closed-loop control. These modules are all based on a direct conversion architecture and feature an onboard local oscillator which can be shared with adjacent modules for synchronization. RF adapter modules also provide digital I/O capability for interfacing with external hardware.
Table 8. RF Adapter Modules for FlexRIO are available as a transceiver, receiver, or transmitter, covering from 200 MHz to 4.4 GHz.

Model Channel Count Frequency Range Bandwidth
NI 5791 1 Rx and 1 Tx 200 MHz – 4.4 GHz 100 MHz
NI 5792 1 Rx 200 MHz – 4.4 GHz 200 MHz
NI 5793 1 Tx 200 MHz – 4.4 GHz 200 MHz

Camera Link Adapter Module for FlexRIO
The Camera Link Adapter Module for FlexRIO supports 80‐bit, 10‐tap base‐, medium‐, and full‐configuration image acquisition from Camera Link 1.2 standard cameras. You can pair the Camera Link Adapter Module for FlexRIO with a PXI FPGA Module for FlexRIO for applications that require bit‐level processing and very low system latency. With the Camera Link Adapter Module for FlexRIO, you can use the FPGA to process images from the camera in-line before sending the images to the CPU, enabling more advanced preprocessing architectures.
Table 9. The NI 1483 Camera Link Adapter Module for FlexRIO was designed to bring FPGA processing capabilities to a variety of Camera Link cameras.

Model| Supported Configurations| Connector| Supported Pixel Clock Frequency| Aux I/O
---|---|---|---|---
NI 1483| Base, Medium, Full Camera Link| 2 x 26-pin SDR| 20 to 85 MHz| 4 x TTL, 2 x Isolated digital inputs, 1 x Quadrature encoder

FlexRIO Module Development Kit
With the FlexRIO Adapter Module Development Kit (MDK), you can build your own FlexRIO I/O module that is tailored to your application. This process requires electrical, mechanical, analog, digital, firmware, and software design expertise. Learn more about the NI FlexRIO Adapter Module Development Kit.

Key Advantages of FlexRIO

Process Signals in Real Time
As converter technologies advance, data rates continue to increase, putting pressure on streaming infrastructure, processing elements, and storage devices to keep up. While CPUs are generally accessible and easy to program, they are not reliable for real-time, continuous signal processing, especially at higher data rates. Adding an FPGA between the I/O and the CPU provides the opportunity to process the data as it is acquired/generated in a point-by- point fashion, greatly reducing the load on the rest of the system.
Table 10. Example applications and algorithms that can benefit from real-time, FPGA-based processing with high-performance I/O.

Use-case Example Algorithms
Inline signal processing Filtering, thresholding, peak detection, averaging,

FFT, equalization, zero suppression, fractional decimation, interpolation, correlation, pulse measurements
Custom triggering| Logical AND/OR, waveform mask, frequency mask, channel power level, protocol-based
RF Acquisition/Generation| Digital upconversion/downconversion (DDC/DUC), modulation and demodulation, packet assembly, channel emulation, channelization, digital pre-distortion, pulse compression, beamforming
Control| PID, digital PLLs, assertion, emergency condition monitoring/response, hardware-in-the-loop test, simulation
Digital interfacing| Custom protocols emulation, command parsing, test sequencing

NATIONAL-INSTRUMENTS-FlexRIO-Custom-Instrumentation-
Module-6

Figure 5. NI’s Real-Time Spectrum Analyzer Reference Example processes 3.2 GB/s of data continuously on the FPGA, computing over 2 Million FFTs per second.

Program FPGAs with LabVIEW
The LabVIEW FPGA module is an add-on to LabVIEW that extends graphical programming to FPGA hardware and provides a single environment for algorithm capture, simulation, debugging, and compilation of FPGA designs. Traditional methods of programming FPGAs require intimate knowledge of hardware design and years of experience working with low-level hardware description languages. Whether you come from this background or you have never programmed an FPGA, LabVIEW offers substantial productivity improvements that allow you to focus on your algorithms, not the complex glue that holds your design together. For more information on programming FPGAs with LabVIEW, see LabVIEW FPGA Module.

NATIONAL-INSTRUMENTS-FlexRIO-Custom-Instrumentation-
Module-7

Figure 6. Program how you think. LabVIEW FPGA provides a graphical programming approach that simplifies the task of interfacing to I/O and processing data, greatly improving design productivity, and reducing time to market.

Program FPGAs with Vivado
Experience digital engineers can use the Xilinx Vivado Project Export feature included with LabVIEW FPGA 2017 to develop, simulate, and compile for FlexRIO hardware with Xilinx Vivado. You can export all the necessary hardware files for a FlexRIO design to a Vivado Project that is pre-configured for your specific deployment target. Any LabVIEW signal processing IP used in the LabVIEW design will be included in the export; however, all NI IP is encrypted. You can use Xilinx Vivado Project Export on all FlexRIO and high- speed serial devices with Kintex-7 or newer FPGAs.

NATIONAL-INSTRUMENTS-FlexRIO-Custom-Instrumentation-
Module-8

Figure 7. For experienced digital engineers, the Vivado Project Export feature allows for exporting all necessary hardware design files to a Vivado project for development, simulation, and compilation.

Extensive Libraries of FPGA IP
LabVIEW’s extensive collection of FPGA IP gets you to a solution faster, whether you’re looking to implement a completely novel algorithm or you just need to perform common tasks in real time. LabVIEW FPGA includes dozens of highly optimized functions designed for use with high-speed I/O and if you can’t find what you’re looking for in LabVIEW, IP is also available through the online community, NI Alliance Partners, and Xilinx. The table below highlights just some of the NI-provided functions that are commonly used in FlexRIO applications.
Table 11. A list of LabVIEW FPGA IP most commonly used with FlexRIO FPGA Modules.

LabVIEW FPGA IP for FlexRIO

10 Gigabit Ethernet UDP| Edge detection| Persistence display
3-Phase PLL| Equalization| PFT channelizer
Accumulator| Exponential| PID
All-digital PLL| FFT| Pipeline frequency transform (PFT)
Area measurements| Filtering| Polar to X/Y conversion
Bayer decoding| FIR compiler| Power level trigger
Binary morphology| Fixed-point filter design| Power servoing
Binary object detection| Fractional interpolator| Power spectrum
BRAM delay| Fractional resampler| Programmable filter
BRAM FIFO| Frequency domain measurements| Pulse measurements
BRAM packetizer| Frequency mask trigger| Reciprocal
Butterworth filter| Frequency shift| RFFE
Centroid calculation| Halfband decimator| Rising/falling edge detect
Channel emulation| Handshake| RS-232
Channel power| Hardware test sequencer| Scaled window
CIC compiler| I2C| Shading correction
Color extraction| Image operators| Sin & Cos
Color space conversion| Image transforms| Spectrogram
Complex multiply| Instruction sequencer| SPI
Corner detection| IQ impairment correction| Square root
Counters| Line detection| Streaming controller
D latch| Linear interpolation| Streaming IDL
Delay| Lock-in amplifier filter| Synchronous latch
Digital gain| Log| Trigger IDL
Digital pre-distortion| Matrix multiply| Unit delay
Digital pulse processing filter| Matrix transpose| VITA-49 data packing
Discrete delay| Mean, Var, Std deviation| Waveform generation
Discrete normalized integrator| Memory IDL| Waveform match trigger
Divide| Moving average| Waveform math
Dot product| N channel DDC| X/Y to polar conversion
DPO| Natural log| Xilinx Aurora
DRAM FIFO IDL| Noise generation| Zero crossing
DRAM packetizer| Normalized square| Zero order hold
DSP48 node| Notch filter| Z-Transform delay
DUC/DDC compiler| |

NATIONAL-INSTRUMENTS-FlexRIO-Custom-Instrumentation-
Module-9

Figure 8. Just one of the palletes of FPGA IP included with LabVIEW FPGA.

FlexRIO Software Experience

FlexRIO Examples
The FlexRIO driver includes dozens of LabVIEW examples to quickly interface with I/O and learn FPGA programming concepts. Each example consists of two parts: LabVIEW code that runs on the FlexRIO FPGA Module, and code that runs on the CPU communicating with the FPGA. These examples serve as a foundation for further customization and are a great starting point for new applications. NATIONAL-INSTRUMENTS-FlexRIO-Custom-Instrumentation-
Module-10

Figure 9. The shipping examples included with the FlexRIO driver are the best place to get started when programming FlexRIO FPGA Modules.
In addition to the examples included with the FlexRIO driver, National Instruments has published a number of application reference examples that are available through the online community or through VI Package Manager.

Instrument Design Libraries
The FlexRIO examples described above are built on common libraries called Instrument Design Libraries (IDLs). IDLs are basic building blocks for common tasks you may want to perform on the FPGA and save you valuable time during development. Some of the most valuable IDLs are the Streaming IDL which provides flow control for DMA transfers of data to the host, the DSP IDL which includes highly optimized functions for common signal processing tasks, and the Basic Elements IDL which abstracts everyday functions like counters and latches. Many libraries also contain functions that run on the CPU and interface with their corresponding FPGA counterparts.

NATIONAL-INSTRUMENTS-FlexRIO-Custom-Instrumentation-
Module-11

Figure 10. The Instrument Design Libraries (IDLs) for LabVIEW FPGA are included with FPGA-based instrument drivers and provide basic building blocks common to many FPGA designs.

Platform-Based Approach to Test and Measurement

What Is PXI?
Powered by software, PXI is a rugged PC-based platform for measurement and automation systems. PXI combines PCI electrical-bus features with the modular, Eurocard packaging of CompactPCI and then adds specialized synchronization buses and key software features. PXI is both a high-performance and low-cost deployment platform for applications such as manufacturing test, military and aerospace, machine monitoring, automotive, and industrial test. Developed in 1997 and launched in 1998, PXI is an open industry standard governed by the PXI Systems Alliance (PXISA), a group of more than 70 companies chartered to promote the PXI standard, ensure interoperability, and maintain the PXI specification.

NATIONAL-INSTRUMENTS-FlexRIO-Custom-Instrumentation-
Module-12

Integrating the Latest Commercial Technology
By leveraging the latest commercial technology for our products, we can continually deliver high-performance and high-quality products to our users at a competitive price. The latest PCI Express Gen 3 switches deliver higher data throughput, the latest Intel multicore processors facilitate faster and more efficient parallel (multisite) testing, the latest FPGAs from Xilinx help to push signal processing algorithms to the edge to accelerate measurements, and the latest data converters from TI and ADI continually increase the measurement range and performance of our instrumentation.

NATIONAL-INSTRUMENTS-FlexRIO-Custom-Instrumentation-
Module-113

PXI Instrumentation

NI offers more than 600 different PXI modules ranging from DC to mmWave. Because PXI is an open industry standard, nearly 1,500 products are available from more than 70 different instrument vendors. With standard processing and control functions designated to a controller, PXI instruments need to contain only the actual instrumentation circuitry, which provides effective performance in a small footprint. Combined with a chassis and controller, PXI systems feature high-throughput data movement using PCI Express bus interfaces and sub-nanosecond synchronization with integrated timing and triggering.

Oscilloscopes
Sample at speeds up to 12.5 GS/s with 5 GHz of analog bandwidth, featuring numerous triggering modes and deep onboard memory

Digital Instruments
Perform characterization and production test of semiconductor devices with timing sets and per channel pin parametric measurement unit (PPMU)

Frequency Counters
Perform counter timer tasks such as event counting and encoder position, period, pulse, and frequency measurements

Power Supplies & Loads
Supply programmable DC power, with some modules including isolated channels, output disconnect functionality, and remote sense

Switches (Matrix & MUX)
Feature a variety of relay types and row/column configurations to simplify wiring in automated test systems

NATIONAL-INSTRUMENTS-FlexRIO-Custom-Instrumentation-
Module-18

GPIB, Serial, & Ethernet
Integrate non-PXI instruments into a PXI system through various instrument control interfaces

Digital Multimeters
Perform voltage (up to 1000 V), current (up to 3A), resistance, inductance, capacitance, and frequency/period measurements, as well as diode tests

Waveform Generators
Generate standard functions including sine, square, triangle, and ramp as well as user-defined, arbitrary waveforms

Source Measure Units
Combine high-precision source and measure capability with high channel density, deterministic hardware sequencing, and SourceAdapt transient optimization

FlexRIO Custom Instruments & Processing
Provide high-performance I/O and powerful FPGAs for applications that require more than standard instruments can offer

Vector Signal Transceivers
Combine a vector signal generator and vector signal analyzer with FPGA-based, real-time signal processing and control

Data Acquisition Modules
Provide a mix of analog I/O, digital I/O, counter/timer, and trigger functionality for measuring electrical or physical phenomena

Hardware Services

All NI hardware includes a one-year warranty for basic repair coverage, and calibration in adherence to NI specifications prior to shipment. PXI Systems also include basic assembly and a functional test. NI offers additional entitlements to improve uptime and lower maintenance costs with service programs for hardware. Learn more at ni.com/services/hardware.

| Standard| Premium| Description
---|---|---|---
Program Duration| 3 or 5 years| 3 or 5 years| Length of service program
Extended Repair Coverage| ●| ●| NI restores your device’s functionality and includes firmware updates and factory calibration.
System Configuration, Assembly, and Test1|

|

| NI technicians assemble, install software in, and test your system per your custom configuration prior to shipment.
Advanced Replacement2| | ●| NI stocks replacement hardware that can be shipped immediately if a repair is needed.
System RMA1| | ●| NI accepts the delivery of fully assembled systems when performing repair services.
Calibration Plan (Optional)| Standard| Expedited3| NI performs the requested level of calibration at the specified calibration interval for the duration of the service program.

  • This option is only available for PXI, CompactRIO, and CompactDAQ systems.
  • This option is not available for all products in all countries. Contact your local NI sales engineer to confirm availability.
  • Expedited calibration only includes traceable levels.

PremiumPlus Service Program NI can customize the offerings listed above, or offer additional entitlements such as on-site calibration, custom sparing, and life-cycle services through a PremiumPlus Service Program. Contact your NI sales representative to learn more.

Technical Support
Every NI system includes a 30-day trial for phone and e-mail support from NI engineers, which can be extended through a Software Service Program (SSP) membership. NI has more than 400 support engineers available around the globe to provide local support in more than 30 languages. Additionally,
take advantage of NI’s award winning online resources and communities.
©2017 National Instruments. All rights reserved. LabVIEW, National Instruments, NI, NI TestStand, and ni.com are trademarks of National Instruments. Other product and company names listed are trademarks or trade names of their respective companies. The contents of this Site could contain technical inaccuracies, typographical errors or out-of-date information. Information may be updated or changed at any time, without notice. Visit ni.com/manuals for the latest information.
7 June 2019

References

Read User Manual Online (PDF format)

Loading......

Download This Manual (PDF format)

Download this manual  >>

NATIONAL INSTRUMENTS User Manuals

Related Manuals