MICROCHIP AN4682 Polar Fire FPGA Temperature and Voltage Sensor Instruction Manual

June 1, 2024
MICROCHIP

MICROCHIP AN4682 Polar Fire FPGA Temperature and Voltage Sensor

MICROCHIP-AN4682-Polar-Fire-FPGA-Temperature-and-Voltage-Sensor-
PRODUCT

Product Information

Specifications:

  • Product Name: PolarFire FPGA Temperature and Voltage Sensor
  • Features: Temperature and Voltage Sensor reporting die temperature and voltage of device supply rails in digital form to\ the FPGA fabric
  • Implementation: 4-channel ADC

Product Usage Instructions

1. Running the Demo

To run the demo highlighting the TVS feature of the PolarFire using a UART- based application (GUI), follow these steps:

  1. Ensure you have the required hardware and software listed in the Design Requirements section.
  2. Download the demo design files from the provided link.
  3. Install Libero SoC on the host PC as indicated in the website for this design.
  4. Open the Libero design to see the latest updates and configurations.
  5. Program the demo design according to the instructions provided.

2. Design Requirements

Before running the demo, ensure you have the following hardware and software:

Requirement Operating System Hardware Software
Version 64-bit Windows 7, 8, or 10 PolarFire Evaluation Kit (MPF300-EVAL-
KIT) Libero SoC, ModelSim, FlashPro Express

3. Prerequisites

Before starting the demo, make sure to:

  • Download demo design files from the provided link: Download Link
  • Install Libero SoC on the host PC from the installation link provided.
  • Ensure you have the latest versions of ModelSim, Synplify Pro, and FTDI drivers included in the Libero SoC installation package.

4. Demo Design

The top-level block diagram of the TVS design includes all four enabled channels of TVS to monitor die temperature and voltage rails. The Fabric logic captures TVS channels outputs and sends them to UART IF through CoreUART IP.

FAQ

  • Q: What is the purpose of the TVS feature in PolarFire FPGA?
    • A: The TVS feature reports die temperature and voltage of device supply rails in digital form to the FPGA fabric.
  • Q: How many channels does the TVS use?
    • A: The TVS is implemented using a 4-channel ADC.

Introduction

Each PolarFire device is equipped with a Temperature and Voltage Sensor (TVS). TVS reports die temperature and voltage of device supply rails in digital form to the FPGA fabric.

TVS is implemented using a 4-channel ADC, and the channel information is given as follows:

  • Channel 0—1V voltage supply
  • Channel 1—1.8V voltage supply
  • Channel 2—2.5V voltage supply
  • Channel 3—Die temperature

The TVS outputs a 16-bit encoded value that represents voltage or temperature and the corresponding channel number. The temperature and voltage information is translated into standard temperature and voltage values. For more information, see PolarFire FPGA and PolarFire SoC FPGA

PolarFire FPGA Temperature and Voltage Sensor

This demo highlights the TVS feature of the PolarFire using a UART-based application (GUI). The demo design continuously pumps the data from TVS channels to UART, displayed on the GUI. This demo design also shows how to simulate the TVS feature of the PolarFire device.

The demo design can be programmed using one of the following options:

  • Using the job file: To program the device using the job file provided along with the design files, see 4. Appendix
  • Programming the Device Using FlashPro Express.
  • Using Libero SoC: To program the device using Libero SoC, see 2. Libero Design Flow. Use this option when the demo design is modified.

Design Requirements

The following table lists the hardware and software requirements for this demo design.

Table 1-1. Design Requirements Security User Guide.MICROCHIP-AN4682
-Polar-Fire-FPGA-Temperature-and-Voltage-Sensor-FIG
\(1\)

Important: Libero SmartDesign and configuration screen shots shown in this document are for illustration purpose only. Open the Libero design to see the latest updates

Prerequisites

Before you begin:

For demo design files download link:

www.microchip.com/en-us/application-notes/AN4682

Download and install Libero SoC (as indicated in the website for this design) on the host PC from the following location: Libero SoC Installation link The latest versions of ModelSim, Synplify Pro, and FTDI drivers are included in the Libero SoC installation package.

Demo Design

The following figure shows the top-level block diagram of the TVS design. All four channels of TVS are enabled in the design to monitor the die temperature and voltage rails. The Fabric logic captures the TVS channels outputs and sends them to UART IF through CoreUART IP

MICROCHIP-AN4682-Polar-Fire-FPGA-Temperature-and-Voltage-Sensor-FIG
\(2\)

The GUI receives TVS values per channel and decodes to display them as described:

Die Temperature
The temperature channel’s 16-bit output value is represented in Kelvin and can be decoded as listed in the followingtable. For example, the temperature channel’s output value of 0x133B implies 307.56 Kelvin.

Table 1-2. Temperature Channel Value DecodingMICROCHIP-AN4682-Polar-Fire-
FPGA-Temperature-and-Voltage-Sensor-FIG \(3\)

Voltage

The data present at the VALUE and CHANNEL outputs are valid only when the VALID output is asserted. When a channel is disabled by deasserting the corresponding channel enable input, then the channel data present on the outputs is not valid even if the VALID output is asserted. The voltage channel’s 16-bit output value is represented in millivolts (mV) and can be decoded as listed in the following table. For example, the voltage channel’s output value of 0x385E implies 1803.75 mV. MICROCHIP-AN4682-Polar-Fire-
FPGA-Temperature-and-Voltage-Sensor-FIG \(4\)

Design Implementation

The following figure shows the Libero SoC software design implementation of the TVS demo design.

MICROCHIP-AN4682-Polar-Fire-FPGA-Temperature-and-Voltage-Sensor-FIG
\(5\)

The top-level design includes the following components:

  • TVS_IP_0 Macro
  • Core_UART_0
  • TVS_to_UART_0 logic
  • clock_gen_0
  • INIT_MONITOR_0 and PF_RESET_0

TVS_IP_0 Macro

The following figure shows the TVS interface configurator.MICROCHIP-AN4682
-Polar-Fire-FPGA-Temperature-and-Voltage-Sensor-FIG
\(6\)

The GUI displays the die temperature in degree Celsius by converting Kelvin values. Celsius value = Kelvin value – 273.15

TVS_to_UART_0

The TVS to UART logic captures the Temperature and Voltage values from the TVS macro and sends the data to Core_UART_0.

clock_gen_0

CCC is configured to generate the 100 MHz clock.

Simulation Flow

The TVS simulation model updates the TVS macro outputs based on reading instructions given in the .mem file or .txt file. The file name must be passed to the simulation model for the TVS outputs to toggle. The parameter used to store the .mem file name is called “TVS_MEMFILE”. Add the following vsim command to pass the file nameMICROCHIP-AN4682-Polar-Fire-FPGA-Temperature-
and-Voltage-Sensor-FIG \(7\)

The .mem file contains the simulation time followed by the digital values (16-bit) of the four ADC channels at that time. A value is required for the channel even if it is not used. The value can be 0. The simulation starts with all channel outputs being 0. The pattern can be repeated several times in the .mem file to reflect several values of the channel outputs. The content of the mem file is limited to 256 lines.

Simulating the Design

The Libero project includes a test-bench to simulate the TVS block. The testbench captures all four TVS channel values using CoreUART IP. The digital values for the four channels are passed through the .mem file.

Simulation Settings

Perform the following steps to pass the .mem file for simulation:

  1. Open the Libero SoC project settings (Project > Project Settings).
  2. Select Vsim commands under the Simulation options. Enter

-gTVS_MEMFILE=“tvs_values.mem” in the Additional options field and then click Save. A sample tvs_values.mem file is provided in the simulation folder. The .mem file must be available in simulation folder of the Libero project. The tvs_values.mem file captures the 16-bit digital output of the TVS block at different time instances.

MICROCHIP-AN4682-Polar-Fire-FPGA-Temperature-and-Voltage-Sensor-FIG
\(8\)

Perform the following steps to simulate the design:

  1. In the Design Flow tab, right click Simulate under Verify Pre-Synthesis Design and then select Open Interactively.

Figure 1-5. Design Flow-Simulate

MICROCHIP-AN4682-Polar-Fire-FPGA-Temperature-and-Voltage-Sensor-FIG
\(9\)

The Wave window appears when the simulation is completed, as shown in the following figure. Since all the four channels are enabled, the TVS circuit outputs a value of the four channels at a given point in time on the VALUE output along with the channel number on the CHANNEL output. The data present on the VALUE and CHANNEL outputs are valid only when the VALID output is asserted. Observe the following from the simulation results:

  • After the channel is enabled for conversion, the TVS block takes 390 microseconds to complete the conversion.
  • Each channel has a conversion delay of 410 microseconds.
  • The conversion rate is equal to 1920 microseconds, which is same as the conversion rate set in the TVS configurator.
  • TVS block generates the output values based on the values given in the tvs_values.mem file.

The following figure shows the UI of ModelSim Pro ME Wave window.

MICROCHIP-AN4682-Polar-Fire-FPGA-Temperature-and-Voltage-Sensor-FIG
\(10\)

Close ModelSim Pro ME and the Libero project.

Libero Design Flow

This chapter describes the Libero design flow of the demo design. The Libero design flow involves the following steps:

  • Synthesize
  • Place and route
  • Verify Timing
  • Generate Bitstream
  • Run PROGRAM Action

The following figure shows these options in the Design Flow tabMICROCHIP-
AN4682-Polar-Fire-FPGA-Temperature-and-Voltage-Sensor-FIG
\(11\)

Synthesize

Perform the following steps to synthesize the design

  1. From the Design Flow window, double click Synthesize. A green tick mark appears when the synthesis is successful, as shown in Figure 2-1.
  2. Right click Synthesize and select View Report to view the synthesis report and log files in the Reports tab.

Place and Route

  1. From the Design Flow window, double click Place and Route.
    A green tick mark appears when the place and route is successful, as shown in Figure 2-1.

  2. Right click Place and Route and select View Report to view the place and route report and log files in the Reports tab.

Verify Timing

To verify timing, perform the following steps:

  1. From the Design Flow window, double click Verify Timing. When the design successfully meets the timing requirements, a green tick mark appears, as shown in Figure 2-1.
  2. Right click Verify Timing and select View Report to view the verify timing report and log files in the Reports tab.

Generate FPGA Array Data

To generate FPGA array data, double click Generate FPGA Array Data from the Design Flow window. A green tick mark is displayed after the successful generation of the FPGA array data, as shown in Figure 2-1.

Generate Bitstream

Perform the following steps to generate the bitstream:

  1. Double click Generate Bitstream from the Design Flow tab.
    When the bitstream is successfully generated, a green tick mark appears, as shown in Figure 2-1.

  2. Right click Generate Bitstream and select View Report to view the corresponding log file in the Reports tab.

Run PROGRAM Action

After generating the bitstream, the PolarFire device must be programmed. Perform the following steps to program the PolarFire device:

  1. Ensure that the following Jumper Settings are set on the board.

MICROCHIP-AN4682-Polar-Fire-FPGA-Temperature-and-Voltage-Sensor-FIG
\(12\)

  • Connect the power supply cable to the J9 connector on the board.
  • Connect the USB cable from the Host PC to J5 (FTDI port) on the board.
  • Power the board using the SW3 slide switch.
  • Double click Run PROGRAM Action from the Libero > Design Flow tab.
  • A green tick mark appears when the device is programmed successfully, as shown in Figure 2-1.

Running the Demo

This chapter describes installing and using the Graphic User Interface (GUI) to run the TVS demo. The PolarFire TVS demo application is a simple GUI that runs on the host PC to communicate with the PolarFire Device.

Perform the following steps to install the GUI:

  1. Extract the contents of the mpf_an4682_v2022p1_eval_df.rar file. From the mpf_an4682_v2022p1_eval_df\GUI\TVS_Monitor_GUI_Installer folder, double click the setup.exe file.
  2. Follow the instructions displayed on the installation wizard. After successful installation, TVS_Monitor_GUI appears on the Start menu of the host PC desktop.

Perform the following steps to run the TVS demo:

  1. From the Start menu, click TVS_Monitor_GUI to launch the application. Ensure that the board is connected and appropriate Log Folder is selected.
  2. Click Connect. On a successful connection, the GUI shows the temperature and voltage values. The Log file is created with the time stamp in the file name at the Log Folder location. By default, Log Folder points to the ‘SupportFiles’ folder in the installation directory. Users can modify the Log Folder location before connecting to the board. Important: Ensure that the Log Folder is not a system-restricted location. In this case, the user must launch the GUI with admin privileges (right click and run as admin).
  3. Upper Limit, Lower Limit, and the minimum variation in logging for each channel are configurable in the setup.ini file. Channel values are logged in the log file if a variation exceeds the specified ‘min var’ values in the setup.ini file. The following figure shows the standard temperature and voltage values of channel 0 (1.05 V). The plot corresponds to the values of Channel 0. Similarly, select the other channels and view their corresponding values and plots.

MICROCHIP-AN4682-Polar-Fire-FPGA-Temperature-and-Voltage-Sensor-FIG
\(13\)

Important: The GUI updates the TVS channel values with the delay entered in the Delay (ms) field.

Appendix 1: Programming the Device Using FlashPro Express

This chapter describes how to program the PolarFire device with the .job programming file using FlashPro Express. The .job file is available at the following design files folder location: mpf_an4682_v2022p1_eval_df\Programming_Job

Perform the following steps to program the device:

  1. Ensure that the jumper settings on the board are the same as listed in Table 2-1. Important: The power supply switch must be switched off while making the jumper connections.
  2. Connect the power supply cable to the J9 connector on the board.
  3. Connect the USB cable from the Host PC to the J5 (FTDI port) on the board.
  4. Power the board using the SW3 slide switch.
  5. On the host PC, launch the FlashPro Express software.
  6. Click New or select New Job Project from FlashPro Express Job from the Project menu to create a newjob project, as shown in the following figures.MICROCHIP-AN4682-Polar-Fire-FPGA-Temperature-and-Voltage-Sensor-FIG \(14\)
  7. Enter the following in the New Job Project from FlashPro Express Job dialog box:
    • Programming job file: Click Browse, navigate to the location where the .job file is located, and select the file. The default location is: \mpf_an4682_v2022p1_eval_df\Programming_Job.
    • FlashPro Express job project location: Click Browse and navigate to the location where you want to save the project.MICROCHIP-AN4682-Polar-Fire-FPGA-Temperature-and-Voltage-Sensor-FIG \(15\)
  8. Click OK. The required programming file is selected and ready to be programmed in the device.
  9. The FlashPro Express window appears as shown in the following figure. Make sure that a programmer number appears in the Programmer field. If not, check the board connections and click Refresh/Rescan Programmers.MICROCHIP-AN4682-Polar-Fire-FPGA-Temperature-and-Voltage-Sensor-FIG \(16\)
  10. Click RUN to program the device. When the device is programmed successfully, a RUN PASSED status is displayed, as shown in the following figure. See 3. Running the Demo to run the TVS demo.MICROCHIP-AN4682-Polar-Fire-FPGA-Temperature-and-Voltage-Sensor-FIG \(17\)
  11. Close FlashPro Express or in the Project tab, click Exit in the Project tab.

Appendix 2: Running the TCL Script

TCL scripts are provided in the design files folder under the directory TCL_Scripts. If required, the design flow can be reproduced from Design Implementation to the job file generation.

Perform the following steps to run the TCL:

  1. Launch the Libero software
  2. Select Project > Execute Script….
  3. Click Browse and select script.tcl from the downloaded TCL_Scripts directory.
  4. Click Run.

After successful execution of TCL script, the Libero project is created within TCL_Scripts directory. For more information about TCL scripts, refer to mpf_an4682_v2022p1_eval_df/TCL_Scripts/readme.txt. Refer to Tcl Commands Reference Guide for more details on TCL commands. Contact Technical Support for queries encountered when running the TCL script.

Revision History

The revision history describes the changes that were implemented in the document. The changes are listed by revision, starting with the most current publication.MICROCHIP-AN4682-Polar-Fire-FPGA-Temperature-and-Voltage-Sensor-
FIG \(18\)

Microchip FPGA Support

Microchip FPGA products group backs its products with various support services, including Customer Service, Customer Technical Support Center, a website, and worldwide sales offices. Customers are suggested to visit Microchip online resources prior to contacting support as it is very likely that their queries have been already answered. Contact Technical Support Center through the website at www.microchip.com/support. Mention the FPGA Device Part number, select appropriate case category, and upload design files while creating a technical support case. Contact Customer Service for non-technical product support, such as product pricing, product upgrades, update information, order status, and authorization.

  • From North America, call 800.262.1060
  • From the rest of the world, call 650.318.4460
  • Fax, from anywhere in the world, 650.318.8044
  • Microchip Information

The Microchip Website

Microchip provides online support via our website at www.microchip.com/. This website is used to make files and information easily available to customers. Some of the content available includes:

  • Product Support – Data sheets and errata, application notes and sample programs, design resources, user’s guides and hardware support documents, latest software releases and archived software
  • General Technical Support – Frequently Asked Questions (FAQs), technical support requests, online discussion groups, Microchip design partner program member listing
  • Business of Microchip – Product selector and ordering guides, latest Microchip press releases, listing of seminars and events, listings of Microchip sales offices, distributors and factory representatives Product Change Notification Service Microchip’s product change notification service helps keep customers current on Microchip products. Subscribers will receive email notification whenever there are changes, updates, revisions or errata related to a specified product family or development tool of interest.

To register, go to www.microchip.com/pcn and follow the registration instructions.

Customer Support

Users of Microchip products can receive assistance through several channels:

  • Distributor or Representative
  • Local Sales Office
  • Embedded Solutions Engineer (ESE)
  • Technical Support

Customers should contact their distributor, representative or ESE for support. Local sales offices are also available to help customers. A listing of sales offices and locations is included in this document. Technical support is available through the website at: www.microchip.com/support

Microchip Devices Code Protection Feature

Note the following details of the code protection feature on Microchip products

  • Microchip products meet the specifications contained in their particular Microchip Data Sheet.
  • Microchip believes that its family of products is secure when used in the intended manner, within operating specifications, and under normal conditions.
  • Microchip values and aggressively protects its intellectual property rights. Attempts to breach the code protection features of Microchip product is strictly prohibited and may violate the Digital Millennium Copyright Act.
  • Neither Microchip nor any other semiconductor manufacturer can guarantee the security of its code. Code protection does not mean that we are guaranteeing the product is “unbreakable”. Code protection is constantly evolving. Microchip is committed to continuously improving the code protection features of our products.

Legal Notice

This publication and the information herein may be used only with Microchip products, including to design, test, and integrate Microchip products with your application. Use of this information in any other manner violates these terms. Information regarding device applications is provided only for your convenience and may be supersededby updates. It is your responsibility to ensure that your application meets with your specifications. Contact your local Microchip sales office for additional support or, obtain additional support at

www.microchip.com/en-us/support/design-help/client-support- services. THIS INFORMATION IS PROVIDED BY MICROCHIP “AS IS”. MICROCHIP MAKES NO REPRESENTATIONS OR WARRANTIES OF ANY KIND WHETHER EXPRESS OR IMPLIED, WRITTEN OR ORAL, STATUTORY OR OTHERWISE, RELATED TO THE INFORMATION INCLUDING BUT NOT LIMITED TO ANY IMPLIED WARRANTIES OF NON- INFRINGEMENT, MERCHANTABILITY, AND FITNESS FOR A PARTICULAR PURPOSE, OR WARRANTIES RELATED TO ITS CONDITION, QUALITY, OR PERFORMANCE. IN NO EVENT WILL MICROCHIP BE LIABLE FOR ANY INDIRECT, SPECIAL, PUNITIVE, INCIDENTAL, OR CONSEQUENTIAL LOSS, DAMAGE, COST, OR EXPENSE OF ANY KIND WHATSOEVER RELATED TO THE INFORMATION OR ITS USE, HOWEVER CAUSED, EVEN IF MICROCHIP HAS BEEN ADVISED OF THE POSSIBILITY OR THE DAMAGES ARE FORESEEABLE. TO THE FULLEST EXTENT ALLOWED BY LAW, MICROCHIP’S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY RELATED TO THE INFORMATION OR ITS USE WILL NOT EXCEED THE AMOUNT OF FEES, IF ANY, THAT YOU HAVE PAID DIRECTLY TO MICROCHIP FOR THE INFORMATION. Use of Microchip devices in life support and/or safety applications is entirely at the buyer’s risk, and the buyer agrees to defend, indemnify and hold harmless Microchip from any and all damages, claims, suits, or expenses resulting from such use. No licenses are conveyed, implicitly or otherwise, under any Microchip intellectual property rights unless otherwise stated.

Trademarks

The Microchip name and logo, the Microchip logo, Adaptec, AVR, AVR logo, AVR Freaks, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeeLoq, Kleer, LANCheck, LinkMD, maXStylus, maXTouch, MediaLB, megaAVR, Microsemi, Microsemi logo, MOST, MOST logo, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 logo, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST Logo, SuperFlash, Symmetricom, SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron, and XMEGA are registered trademarks of Microchip Technology Incorporated in the U.S.A. and other countries. AgileSwitch, APT, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus logo, Quiet- Wire, SmartFusion, SyncWorld, Temux, TimeCesium, TimeHub, TimePictra, TimeProvider, TrueTime, and ZL are registered trademarks of Microchip Technology Incorporated in the U.S.A. Adjacent Key Suppression, AKS, Analog-for-the-Digital Age, Any Capacitor, AnyIn, AnyOut, Augmented Switching, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoController, dsPICDEM, dsPICDEM.net, Dynamic Average Matching, DAM, ECAN, Espresso T1S, EtherGREEN, GridTime, IdealBridge, In-Circuit Serial Programming, ICSP, INICnet, Intelligent Paralleling, IntelliMOS, Inter-Chip Connectivity, JitterBlocker, Knob-on- Display, KoD, maxCrypto, maxView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB Certified logo, MPLIB, MPLINK, MultiTRAK, NetDetach, Omniscient Code Generation, PICDEM, PICDEM.net, PICkit, PICtail, PowerSmart, PureSilicon, QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAMAN4682 ICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-I.S., storClad, SQI, SuperSwitcher,
SuperSwitcher II, Switchtec, SynchroPHY, Total Endurance, Trusted Time, TSHARC, USBCheck, VariSense, VectorBlox, VeriPHY, ViewSpan, WiperLock, XpressConnect, and ZENA are trademarks of Microchip Technology Incorporated in the U.S.A. and other countries. SQTP is a service mark of Microchip Technology Incorporated in the U.S.A. The Adaptec logo, Frequency on Demand, Silicon Storage Technology, and Symmcom are registered trademarks of Microchip Technology Inc. in other countries. GestIC is a registered trademark of Microchip Technology Germany II GmbH & Co. KG, a subsidiary of Microchip Technology Inc., in other countries. All other trademarks mentioned herein are property of their respective companies. © 2022, Microchip Technology Incorporated and its subsidiaries. All Rights Reserved. ISBN: 978-1-6683-0685-7 Quality Management System For information regarding Microchip’s Quality Management Systems, please visit www.microchip.com/quality

Worldwide Sales and Service

AMERICAS ASIA/PACIFIC ASIA/PACIFIC EUROPE

  • Corporate Office
  • 2355 West Chandler Blvd.
  • Chandler, AZ 85224-6199
  • Tel: 480-792-7200
  • Fax: 480-792-7277
  • Technical Support:
  • www.microchip.com/support
  • Web Address:
  • www.microchip.com
  • Atlanta
  • Duluth, GA
  • Tel: 678-957-9614
  • Fax: 678-957-1455
  • Austin, TX
  • Tel: 512-257-3370
  • Boston
  • Westborough, MA
  • Tel: 774-760-0087
  • Fax: 774-760-0088
  • Chicago
  • Itasca, IL
  • Tel: 630-285-0071
  • Fax: 630-285-0075
  • Dallas
  • Addison, TX
  • Tel: 972-818-7423
  • Fax: 972-818-2924
  • Detroit
  • Novi, MI
  • Tel: 248-848-4000
  • Houston, TX
  • Tel: 281-894-5983
  • Indianapolis
  • Noblesville, IN
  • Tel: 317-773-8323
  • Fax: 317-773-5453
  • Tel: 317-536-2380
  • Los Angeles
  • Mission Viejo, CA
  • Tel: 949-462-9523
  • Fax: 949-462-9608
  • Tel: 951-273-7800
  • Raleigh, NC
  • Tel: 919-844-7510
  • New York, NY
  • Tel: 631-435-6000
  • San Jose, CA
  • Tel: 408-735-9110
  • Tel: 408-436-4270
  • Canada – Toronto
  • Tel: 905-695-1980
  • Fax: 905-695-2078
  • Australia – Sydney
  • Tel: 61-2-9868-6733
  • China – Beijing
  • Tel: 86-10-8569-7000
  • China – Chengdu
  • Tel: 86-28-8665-5511
  • China – Chongqing
  • Tel: 86-23-8980-9588
  • China – Dongguan
  • Tel: 86-769-8702-9880
  • China – Guangzhou
  • Tel: 86-20-8755-8029
  • China – Hangzhou
  • Tel: 86-571-8792-8115
  • China – Hong Kong SAR
  • Tel: 852-2943-5100
  • China – Nanjing
  • Tel: 86-25-8473-2460
  • China – Qingdao
  • Tel: 86-532-8502-7355
  • China – Shanghai
  • Tel: 86-21-3326-8000
  • China – Shenyang
  • Tel: 86-24-2334-2829
  • China – Shenzhen
  • Tel: 86-755-8864-2200
  • China – Suzhou
  • Tel: 86-186-6233-1526
  • China – Wuhan
  • Tel: 86-27-5980-5300
  • China – Xian
  • Tel: 86-29-8833-7252
  • China – Xiamen
  • Tel: 86-592-2388138
  • China – Zhuhai
  • Tel: 86-756-3210040
  • India – Bangalore
  • Tel: 91-80-3090-4444
  • India – New Delhi
  • Tel: 91-11-4160-8631
  • India – Pune
  • Tel: 91-20-4121-0141
  • Japan – Osaka
  • Tel: 81-6-6152-7160
  • Japan – Tokyo
  • Tel: 81-3-6880- 3770
  • Korea – Daegu
  • Tel: 82-53-744-4301
  • Korea – Seoul
  • Tel: 82-2-554-7200
  • Malaysia – Kuala Lumpur
  • Tel: 60-3-7651-7906
  • Malaysia – Penang
  • Tel: 60-4-227-8870
  • Philippines – Manila
  • Tel: 63-2-634-9065
  • Singapore
  • Tel: 65-6334-8870
  • Taiwan – Hsin Chu
  • Tel: 886-3-577-8366
  • Taiwan – Kaohsiung
  • Tel: 886-7-213-7830
  • Taiwan – Taipei
  • Tel: 886-2-2508-8600
  • Thailand – Bangkok
  • Tel: 66-2-694-1351
  • Vietnam – Ho Chi Minh
  • Tel: 84-28-5448-2100
  • Austria – Wels
  • Tel: 43-7242-2244-39
  • Fax: 43-7242-2244-393
  • Denmark – Copenhagen
  • Tel: 45-4485-5910
  • Fax: 45-4485-2829
  • Finland – Espoo
  • Tel: 358-9-4520-820
  • France – Paris
  • Tel: 33-1-69-53-63-20
  • Fax: 33-1-69-30-90-79
  • Germany – Garching
  • Tel: 49-8931-9700
  • Germany – Haan
  • Tel: 49-2129-3766400
  • Germany – Heilbronn
  • Tel: 49-7131-72400
  • Germany – Karlsruhe
  • Tel: 49-721-625370
  • Germany – Munich
  • Tel: 49-89-627-144-0
  • Fax: 49-89-627-144-44
  • Germany – Rosenheim
  • Tel: 49-8031-354-560
  • Israel – Ra’anana
  • Tel: 972-9-744-7705
  • Italy – Milan
  • Tel: 39-0331-742611
  • Fax: 39-0331-466781
  • Italy – Padova
  • Tel: 39-049-7625286
  • Netherlands – Drunen
  • Tel: 31-416-690399
  • Fax: 31-416-690340
  • Norway – Trondheim
  • Tel: 47-72884388
  • Poland – Warsaw
  • Tel: 48-22-3325737
  • Romania – Bucharest
  • Tel: 40-21-407-87-50
  • Spain – Madrid
  • Tel: 34-91-708-08-90
  • Fax: 34-91-708-08-91
  • Sweden – Gothenberg
  • Tel: 46-31-704-60-40
  • Sweden – Stockholm
  • Tel: 46-8-5090-4654
  • UK – Wokingham
  • Tel: 44-118-921-5800
  • Fax: 44-118-921-5820

References

Read User Manual Online (PDF format)

Loading......

Download This Manual (PDF format)

Download this manual  >>

Related Manuals