intel Quartus Prime Standard Edition User Guide

June 12, 2024
Intel

intel logo Intel® Quartus® Prime Standard Edition
Version 22.1std Software and Device Support Release Notes
Updated for Intel® Quartus® Prime Design Suite: 22.1std.1
User Guide

Intel® Quartus® Prime Standard Edition Version 22.1std Software and

Device Support Release Notes

This document provides late-breaking information about Intel® Quartus® Prime Standard Edition Version 22.1std and 22.1std.1.
For additional information about this software release, refer to the Intel Quartus Prime Standard Edition README file in the following location:/quartus/readme.txt
For information about operating system support, refer to the following web page: Intel FPGA Operating System Support.

Related Information

  • Intel Quartus Prime Pro Edition Software and Device Support Release Notes
  • Intel Quartus Prime Standard Edition Design Software for Linux
  • Intel Quartus Prime Standard Edition Design Software for Windows
  • Intel Quartus Prime Lite Edition Design Software for Linux
  • Intel Quartus Prime Lite Edition Design Software for Windows
  • Intel FPGA Software Installation and Licensing

1.1. New Features and Enhancements
Intel Quartus Prime Standard Edition Software Version 22.1std and Version 22.1std.1 include functional and security updates. Keep your software up-to- date and follow the technical recommendations that help improve the security of your Intel Quartus Prime installation.
Intel Quartus Prime Standard Edition Software Version 22.1std includes the following new features and enhancements:

  • Added support for the Nios® V/m processor.
  • For Intel MAX® 10 devices, added 1.8V LVDS support.

Bug Fixes
Intel Quartus Prime Standard Edition Software Version 22.1std and Version 22.1std.1 also include bug fixes. Review Software Issues Resolved on page 13 and Software Patches Included in this Release on page 13 to see if this version contains fixes for or otherwise resolves any of your customer service (Intel Premier Support) requests.

1.2. Changes to Software Behavior
This section documents instances in which the behavior and default settings of the Intel Quartus Prime Standard Edition software have been changed from earlier releases of the Intel Quartus Prime Standard Edition software.
Refer to the Intel Quartus Prime Default Settings File (.qdf), <Quartus Prime installation directory>/quartus/bin/assignment_defaults.qdf, for a list of all the default assignment settings for the latest version of the Intel Quartus Prime software.

1.2.1. Deprecated Features and Functions
The functions and features listed in this section have been deprecated but not removed from Intel Quartus Prime Standard Edition Version 22.1std.1 or earlier.
Migrate your tools and processes to use the replacement or alternate features and functions before the deprecated features and functions are removed.
Features and Functions Deprecated as of Intel Quartus Prime Standard Edition Version 22.1std.1
No Intel Quartus Prime features or functions have been deprecated in Intel Quartus Prime Standard Edition Version 22.1.1.
Features and Functions Deprecated as of Intel Quartus Prime Standard Edition Version 22.1std
No Intel Quartus Prime features or functions have been deprecated in Intel Quartus Prime Standard Edition Version 22.1.
Features and Functions Deprecated as of Intel Quartus Prime Standard Edition Version 21.1.1
No Intel Quartus Prime features or functions have been deprecated in Intel Quartus Prime Standard Edition Version 21.1.1.
Features and Functions Deprecated as of Intel Quartus Prime Standard Edition Version 21.1
No Intel Quartus Prime features or functions have been deprecated in Intel Quartus Prime Standard Edition Version 21.1.
Features and Functions Deprecated as of Intel Quartus Prime Standard Edition Version 20.1
No Intel Quartus Prime features or functions have been deprecated in Intel Quartus Prime Standard Edition Version 20.1.

1.2.2. Removed Features and Functions
The functions and features listed in this section have been removed from Intel Quartus Prime Standard Edition Version 22.1std.1 or earlier.
Features and Functions Removed from Intel Quartus Prime Standard Edition Version 22.1std.1
No Intel Quartus Prime features or functions have been removed from Intel Quartus Prime Standard Edition Version 22.1.1.
Features and Functions Removed from Intel Quartus Prime Standard Edition Version 22.1std
No Intel Quartus Prime features or functions have been removed from Intel Quartus Prime Standard Edition Version 22.1.
Features and Functions Removed from Intel Quartus Prime Standard Edition Version 21.1.1
No Intel Quartus Prime features or functions have been removed from Intel Quartus Prime Standard Edition Version 21.1.1.
Features and Functions Removed from Intel Quartus Prime Standard Edition Version 21.1

  • Removed ModelSim-Intel FPGA Edition and ModelSim-Intel FPGA Starter Edition
    This simulation software has been replaced by Questa
    -Intel FPGA Edition and Questa-Intel FPGA Starter Edition respectively.

  • Removed support for 32-bit simulation software.
    This change removes support for the following simulation tools:
    — Aldec Active-HDL (32-bit)
    Use a 64-bit version of Aldec Active-HDL or use Aldec Riviera-PRO instead.
    — Mentor Graphics
    ModelSim PE
    Use Siemens* EDA ModelSim SE or Siemens EDA Questa Advanced Simulator instead.

  • Removed NicheStack TCP/IP Stack support.

  • Removed support for Cadence Incisive Enterprise Simulator (IES).

Features and Functions Removed from Intel Quartus Prime Standard Edition Version 20.1
Support for the following software has been removed from Intel Quartus Prime Standard Edition Version 20.1 and later:

  • DSP Builder for Intel FPGAs
  • Intel FPGA SDK for OpenCL™ (*)
  • Intel FPGA RTE for OpenCL
  • Intel High-Level Synthesis (HLS) Compiler

(*) OpenCL and the OpenCL logo are trademarks of Apple Inc. used by permission of the Khronos Group™

1.3. Operating System Support
Information about operating system support for the Intel Quartus Prime Design Suite is available on the Operating System Support page of the Intel FPGA website.
Operating System Support Changes in Intel Quartus Prime Standard Edition Version 22.1std.1
There are no operating system support changes in Intel Quartus Prime Standard Edition Version 22.1std.1.
Operating System Support Changes in Intel Quartus Prime Standard Edition Version 22.1std
Support for the following operating systems is deprecated as of Intel Quartus Prime Standard Edition Version 22.1:

  • CentOS* Linux 8.2
  • Windows Server* 2012
  • Windows Server 2016
  • Windows* 10 Version 1607

Migrate your Windows 10 installation to Windows 10 Version 1809 or later.
Support for these operating systems might be removed in a future release.
Intel Quartus Prime Standard Edition Version 22.1 removed support for the following operating systems:

  • CentOS Linux 7.5
  • CentOS Linux 8.0(1)
  • CentOS Linux 8.1(1)
  • Red Hat Enterprise Linux 7
  • Red Hat Enterprise Linux 8.0(2)
  • Red Hat Enterprise Linux 8.1(2)

Operating System Support Changes in Intel Quartus Prime Standard Edition Version 21.1.1
There are no operating system support changes in Intel Quartus Prime Standard Edition Version 21.1.1.
Operating System Support Changes in Intel Quartus Prime Standard Edition Version 21.1
Intel Quartus Prime Standard Edition Version 21.1 added support for the following operating systems:

  1. CentOS Linux 8.2 remains supported by Intel Quartus Prime Standard Edition Version 22.1
  2. Red Hat* Enterprise Linux 8.2 remains supported by Intel Quartus Prime Standard Edition Version 22.1
  • CentOS 8.0
  • Red Hat Enterprise Linux 8
  • SUSE* Linux Enterprise Server 15
  • Ubuntu* Linux 20 LTS
  • Windows Server 2019

Support for the following operating systems is deprecated as of Intel Quartus Prime Standard Edition Version 21.1. Support for these operating systems might be removed in a future release:

  • CentOS 7.5
  • Red Hat Enterprise Linux 7

Intel Quartus Prime Standard Edition Version 21.1 removed support for the following operating systems:

  • Red Hat Enterprise Linux 6
  • Ubuntu Linux 14 LTS

Related Information
Operating System Support

1.4. Disk Space and Memory Recommendations
A full installation of the Intel Quartus Prime Standard Edition software requires up to 40 GB of available disk space.
Configure your system to provide additional virtual memory equal to the recommended physical RAM that is required to process your design. This additional virtual memory effectively doubles the total effective memory available to process your design.
Note:
Peak virtual memory might exceed these recommendations. These recommendations are based on the amount of physical memory required to achieve runtime within 10% of that achieved on hardware with an infinite amount of RAM.

Table 1.
Memory Requirements for Processing Arria® Designs
These requirements are the same for both Windows and Linux installations.

Family Device Recommended Physical RAM
Intel Arria® 10 10AT115, 10AX115 48 GB
10AT090, 10AX090 44 GB
10AS066, 10AX066 32 GB
10AS057, 10AX057 30 GB
10ASO48, 10AX048 28 GB
10AX032, 10AS032 24 GB
10AX027, 10AS027 22 GB
10AX022, 10AS022 20 GB
10AX016, 10AS016 18 GB
Arria V 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 16 GB
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 12 GB
5AGXA7, 5AGTC7 10 GB
5AGTC3, 5AGXA3, 5AGXA5 8 GB
5AGXA1 6 GB
Arria V GZ 5AGZE7 16 GB
5AGZE3, 5AGZE5 12 GB
5AGZE1 8 GB
Arria II GX EP2AGX260 6 GB
EP2AGX95, EP2AGX125, EP2AGX190 4 GB
EP2AGX65 2 GB
EP2AGX45 1.5 GB
Arria II GZ EP2AGZ350 8 GB
EP2AGZ300 6 GB
EP2AGZ225 4 GB

Table 2.
Memory Requirements for Processing Cyclone® Designs
These requirements are the same for both Windows and Linux installations.

Family Device Recommended Physical RAM
Intel Cyclone® 10 LP 10CL120 1.5 GB
10CL080, 10CL055 1 GB
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 512 MB
Cyclone V 5CEA9, 5CGTD9, 5CGXC9 8 GB

5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6| 6 GB
Cyclone IV GX| EP4CGX110, EP4CGX150| 2 GB
EP4CGX50, EP4CGX75| 1.5 GB
EP4CGX15, EP4CGX22, EP4CGX30| 512 MB
Cyclone IV E| EP4CE115| 1.5 GB
EP4CE55, EP4CE75| 1 GB
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40| 512 MB

Table 3.
Memory Requirements for Processing MAX Designs
These requirements are the same for both Windows and Linux installations.

Family Device Recommended Physical RAM
Intel MAX 10 10M50 2 GB
10M16 2 GB
10M25 2 GB
10M40 2 GB
10M04, 10M08 1 GB
10M02 512 MB
MAX V All 512 MB
MAX II All 512 MB

Table 4.
Memory Requirements for Processing Stratix®Designs
These requirements are the same for both Windows and Linux installations.

Family Device Recommended Physical RAM
Stratix® V 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB 28 GB
5SGXA9, 5SEE9 24 GB
5SGTC7, 5SGXA7, 5SGSD8 20 GB
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 16 GB
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 12 GB
5SGSD3 8 GB
Stratix IV EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 12 GB
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 8 GB
EP4SGX290 6 GB
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 4 GB
EP4SGX70 2 GB

1.5. Device Support and Pin-Out Status
All production devices currently have full compilation, simulation, timing analysis, and programming support.
1.5.1. Changes in Device Support
1.6. Timing Model, Power Model, and Device Status
Table 5.
Timing Model, Power Model, and Device Status for Intel Arria 10 Devices

Device Family Device Timing Model Status Power Model Status Device Status
Intel Arria 10 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032,
10AS032 Final – 16.1 (3)(4) Final – 17.0 Final – 17.0
10AX048, 10AS048 Final – 16.0.2 (4) Final – 17.0 Final – 17.0
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 Final – 16.0.1 (4)
Final – 16.0.1 Final – 16.0.1
10AX115, 10AT115 Final – 16.0 (4) Final – 16.0 Final – 16.0

(3) Devices with a -1 speed grade were finalized in Intel Quartus Prime software version 17.0
(4) All military grade devices were finalized in Intel Quartus Prime software version 18.0.1.

Table 6.
Timing Model, Power Model, and Device Status for Intel Cyclone 10 Devices

Device Family Device Timing Model Status Power Model Status Device Status
Intel Cyclone 10 LP 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055,
10CL080, 10CL120 Final – 17.0 Final – 17.1 Final – 17.1

Table 7.
Timing Model, Power Model, and Device Status for Intel MAX 10 Devices

Device Family Device Timing Model Status Power Model Status Device Status
Intel MAX 10 10M02, 10M04, 10M08 Final – 15.1 (5) Final – 15.1 Final –

15.1
10M16, 10M25, 10M40, 10M50| Final – 15.1.2| Final – 15.1| Final – 15.1

The current version of the Intel Quartus Prime software also includes final timing and power models for the Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V SoC, MAX II, MAX II Z, MAX V, Stratix IV, and Stratix V device families. Timing models for these device families became final in the Intel Quartus Prime software versions 11.1 or earlier.

1.7. IBIS Models
Table 8. IBIS Model Status for the Intel Quartus Prime Standard Edition Software Release Version 22.1std
Beginning in the Intel Quartus Prime Standard Edition software version 16.0, device families have IBIS model statuses that are either Advance, Preliminary, or Final.

Device Family IBIS Model Status
Intel Arria 10 Final – 16.1.2
Arria V Correlated with PHY device operation – 14.0
Arria II GX Correlated with PHY device operation – 11.1
Arria II GZ Correlated with PHY device operation – 11.1
Intel Cyclone 10 LP Final – 17.0
Cyclone V Correlated with PHY device operation – 14.0
Cyclone IV E Correlated with PHY device operation – 11.1
Cyclone IV GX Correlated with PHY device operation – 11.1
Intel MAX 10 Final – 16.0
MAX V Correlated with PHY device operation – 11.1
Stratix V Correlated with PHY device operation – 13.0 SP1
Stratix IV Correlated with PHY device operation – 11.1

Updated IBIS models are available online on the IBIS Models for Intel FPGA Devices web page. This page is updated as IBIS models for devices become available or are updated.
(5) Timing model statuses for MAX 10 A6 speed grade parts remain as Preliminary.
1.8. EDA Interface Information
Table 9.
Synthesis Tools Supporting the Intel Quartus Prime Standard Edition Software Release Version 22.1std

Synthesis Tools Version
Siemens EDA Precision* Siemens EDA Precision versions that support the Intel

Quartus Prime software are typically released after the release of the Intel Quartus Prime software. Contact Siemens EDA for versions of Siemens EDA Precision that support Intel Quartus Prime Standard Edition Software Release Version 22.1std.
Synopsys Synplify, Synplify Pro*, and Synplify Premier| Synopsys Synplify, Synplify Pro, and Synplify Premier versions that support the Intel Quartus Prime software are typically released after the release of the Intel Quartus Prime software. Contact Synopsys for versions of Synopsys Synplify, Synplify Pro, and Synplify Premier that support Intel Quartus Prime Standard Edition Software Release Version 22.1std.

Table 10.
Simulation Tools Supporting the Intel Quartus Prime Standard Edition Software Release Version 22.1std
The following simulation tools provide RTL and functional gate-level simulation. Only 64-bit simulation tools are supported.

Simulation Tools Version
Aldec Active-HDL 13.0 (Windows only)
Aldec Riviera-PRO 2019.1
Cadence Xcelium* Parallel Logic Simulation 21.09.003 (Linux* only)
Questa-Intel FPGA Edition 2021.2
Siemens EDA ModelSim SE 2020.4
Siemens EDA Questa Advanced Simulator 2020.4
Synopsys VCS* and VCS MX P-2019.06-SP2-5 (Linux only)

Questa-Intel FPGA Edition requires FlexLM licensing daemon version 11.16.4.0 (or later). You can obtain the licensing daemon from the FlexLM License Daemons for Intel FPGA Software web page.
You can obtain Intel FPGA Edition of simulation tools from the Download Center for FPGAs.
Operating System Support for Questa-Intel FPGA Edition Version 2021.2

  • Red Hat Enterprise Linux 7 (64-bit)
  • Red Hat Enterprise Linux 8 (64-bit)
  • SUSE Linux Enterprise Server 12 (64-bit)
  • Windows 10 (64-bit)

Related Information

  • Intel Quartus Prime Standard Edition Design Software for Linux
  • Intel Quartus Prime Standard Edition Design Software for Windows
  • Intel Quartus Prime Lite Edition Design Software for Linux
  • Intel Quartus Prime Lite Edition Design Software for Windows

1.9. Antivirus Verification
The Intel Quartus Prime software has been verified virus free with the following software:
Antivirus Verification Software for Intel Quartus Prime Standard Edition Version 22.1std.1
McAfee VirusScan Command Line for Linux64 Version: 7.0.0.477
AV Engine version: 6300.9389 for Linux64.
Dat set version: 10629 created Feb 22 2023
Antivirus Verification Software for Intel Quartus Prime Standard Edition Version 22.1std
McAfee VirusScan Command Line for Linux64 Version: 7.0.0.477
AV Engine version: 6300.9389 for Linux64.
Dat set version: 10505 created Oct 19 2022

1.10. Software Issues Resolved
No customer services requests were fixed of otherwise resolved in Intel Quartus Prime Standard Edition Version 22.1std.1.
The following customer service requests were fixed or otherwise resolved in Intel Quartus Prime Standard Edition Version 22.1std:
Table 11.
Issues Resolved in the Intel Quartus Prime Standard Edition Version 22.1std

Intel Premier Support Case Numbers

00421084| 00451015| 00476432| 00501636| 00529632| 00540927| 00541897| 00550660
00553391| 00573916| 00630517| 00641570| 00644185| 00647421| 00649470| 00661097
00668452| 00669646| 00675753| 00683291| 00689611| 00690524| 00693884| 00696003
00698210| 00698732| 05129080| 05465225| 11396299| | |

1.11. Software Patches Included in this Release
Intel Quartus Prime Standard Edition Version 22.1std.1 contains the following patches for previous versions of Intel Quartus Prime Standard Edition software:
Table 12.
Software Patches included in Intel Quartus Prime Standard Edition Version 22.1std.1

Software Version Patch Customer Service Request Number
Intel Quartus Prime Version 22.1 0.01std
Intel Quartus Prime Version 21.1 0.14std 00741067

Intel Quartus Prime Standard Edition Version 22.1std contains the following patches for previous versions of Intel Quartus Prime Standard Edition software:
Table 13. Software Patches included in Intel Quartus Prime Standard Edition Version 22.1std

Software Version Patch Customer Service Request Number
Intel Quartus Prime Version 21.1 0.10std
Intel Quartus Prime Version 21.1 0.08std 00693884
Intel Quartus Prime Version 21.1 0.07std 00501636
Intel Quartus Prime Version 21.1 0.06std 00689611
Intel Quartus Prime Version 21.1 0.04stdp
Intel Quartus Prime Version 21.1 0.03std
Intel Quartus Prime Version 21.1 0.02std
Intel Quartus Prime Version 20.1.1 1.09std 00702107
Intel Quartus Prime Version 20.1 0.14std 00702107
Intel Quartus Prime Version 18.1.1 1.13std
Intel Quartus Prime Version 18.1.1 1.12std
Intel Quartus Prime Version 18.1.1 1.09std
Intel Quartus Prime Version 18.1 0.23std 00698210
Intel Quartus Prime Version 18.1 0.21std 00669646
Intel Quartus Prime Version 18.1 0.20std 00689611

1.12. Latest Known Intel Quartus Prime Software Issues
Information about known issues that affect Intel Quartus Prime Standard Edition Version 22.1std is available in the Intel FPGA Knowledge Base.
For the latest information about issues that affect Intel Quartus Prime Standard Edition Version 22.1std, review the Intel FPGA Knowledge Base articles that apply to Intel Quartus Prime Standard Edition Version 22.1std.
Table 14.
Important Known Issues Affecting Intel Quartus Prime Standard Edition Version 22.1std

Description Workaround

On Microsoft* Windows systems, SDI II Intel FPGA IP design example generation fails with the following error message:
Error: Failed to generate example design example_design to:: <design directory>\sdi_ii_0_example_design| For details and the availability of a fix, refer to Why does the SDI II Intel FPGA IP design example generation fail when using the Intel Quartus Prime Software for Windows? in the Intel FPGA Knowledge Base.
On Microsoft Windows systems, the following error occurs when generating an Intel Arria 10 EMIF Example Design for simulation:
Error: emif_0: An error has occurred when generating the simulation example design. See make_sim_design_errors.log for details.
Error: Failed to generate example design to: <example design directory> Generate Example Design: completed with errors| You can safely ignore these warning messages. Simulation file sets for Siemens EDA Questa and Aldec Riviera-PRO simulation software are generated and contain the relevant design files to run the simulation successfully. For more details and the availability of a fix, refer to Why does the Intel Arria 10 EMIF Example Design Generation Fail when using the Intel Quartus Prime Standard Edition Software Version 22.1 for Windows? in the Intel FPGA Knowledge Base.
When you use the Intel Arria 10 EMIF IP Skip Calibration mode, simulation of Intel Arria 10 EMIF IP with Siemens EDA Questa simulation software (Siemens EDA Questa
Advanced Simulator or Questa-Intel FPGA Edition) can hang.| Use the Abstract PHY for fast simulation simulation option to prevent the hang.
For more details and the availability of a fix, refer to Why does Simulation of Intel Arria 10 EMIF IP in Mentor simulators hang when using Intel Quartus Prime Standard Edition Software version 22.1 in the Intel FPGA Knowledge Base.

You can find known issue information for previous versions of the Quartus Prime software on the Intel FPGA Knowledge Base web page.
Information about known software issues that affect previous versions of the Quartus II software is available on the Intel Quartus Prime and Quartus II Software Support web page.
Information about issues affecting the Intel FPGA IP Library is available in the release notes for each IP. You can find the IP release notes on the Intel FPGA Documentation Index web page.
Related Information

  • Intel FPGA Knowledge Base
  • Intel Quartus Prime and Quartus II Software Support
  • Intel FPGAs and Programmable Devices Release Notes

1.13. Intel Quartus Prime Standard Edition Software and Device Support Release Notes Archives
For the latest and previous versions of these release notes, refer to Intel Quartus Prime Standard Edition Software and Device Support Release Notes. If a software version is not listed, the release notes for the previous software version applies.
1.14. Intel Quartus Prime Standard Edition Software Release Version 22.1std Document Revision History

Document Version Intel Quartus Prime Version Changes
2023.03.21 22.1std.1 • Updated for Version 22.1std.1

• Corrected version number for Version 22.1std.
2022.11.07| 22.1std| • Updated Latest Known Software Issues.
2022.10.31| 22.1std| • Initial release.

Intel Quartus Prime Standard Edition: Version 22.1std Software and Device Support Release Notes

Online Version
Send Feedback
ID: 683593
RN-01080-22.1std
Version: 2023.03.21

References

Read User Manual Online (PDF format)

Loading......

Download This Manual (PDF format)

Download this manual  >>

Related Manuals