intel 22.4 Quartus Prime Pro Edition Software User Guide

October 30, 2023
Intel

intel 22.4 Quartus Prime Pro Edition Software

intel-22-4-Quartus-Prime-Pro-Edition-Software-product

Product Information

The text extract is from the user manual of Intel Quartus Prime Pro Edition Software Version 22.4. The software release notes provide information about new features, bug fixes, changes to software behavior, and deprecated features and functions. The software version includes functional and security updates, and it is recommended to keep the software up-to-date to improve the security of the installation.

Product Usage Instructions

To install and use Intel Quartus Prime Pro Edition Software Version 22.4, follow these steps:

  1. Refer to the Intel Quartus Prime Pro Edition README file in the following location: /quartus/readme.txt for additional information about the software release.
  2. Refer to the following web page for information about operating system support: Intel FPGA Operating System Support.
  3. Download and install the software.
  4. To view the default assignment settings for the latest version of the Intel Quartus Prime software, refer to the Intel Quartus Prime Default Settings File (.qdf), located at /quartus/bin/assignment_defaults.qdf.
  5. If you have customer service requests, review the Software Issues Resolved on page 17 and Software Patches Included in this Release on page 18 to see if this version contains fixes for or otherwise resolves any of your requests.
  6. If you have OpenCL FPGA designs, migrate them to SYCL by reviewing Migrating OpenCL FPGA Designs to SYCL guide that demonstrates important differences between OpenCL and SYCL for FPGA and provides steps to migrate your OpenCL designs. The Intel oneAPI Base Toolkit is an alternative to the discontinued Intel FPGA SDK for OpenCL software product.

Intel® Quartus® Prime Pro Edition Version 22.4 Software and Device Support Release Notes

  • This document provides late-breaking information about Intel® Quartus® Prime Pro Edition Version 22.4.
  • For additional information about this software release, refer to the Intel Quartus Prime Pro Edition README file in the following location:/quartus/readme.txt
  • For information about operating system support, refer to the following web page: Intel FPGA Operating System Support.

Related Information

  • Intel Quartus Prime Standard Edition Software and Device Support Release Notes
  • Intel Quartus Prime Pro Edition Design Software for Linux
  • Intel Quartus Prime Pro Edition Design Software for Windows
  • Intel FPGA Software Installation and Licensing

New Features and Enhancements

Intel Quartus Prime Pro Edition Software Version 22.4 includes functional and security updates. Keep your software up-to-date and follow the technical recommendations that help to improve the security of your Intel Quartus Prime installation.
Intel Quartus Prime Pro Edition Software Version 22.4 includes the following new features and enhancements:

  • Added support for new Intel Agilex™ devices. For details, refer to Changes in Device Support on page 12.
  • Added new design example discovery feature that provides a single point of entry into FPGA design examples within Intel Quartus Prime and Platform Designer. The design examples come from various offline and online sources, including examples provided as part of your Intel Quartus Prime Pro Edition installation and examples available in the FPGA Design Store.
  • Added new board awareness feature in Platform Designer that enables faster design creation when targeting Intel and third-party FPGA boards. The board awareness feature provides preconfigured IP and board settings to help get your design started quickly.

Intel Corporation. All rights reserved. Intel, the Intel logo, and other Intel marks are trademarks of Intel Corporation or its subsidiaries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel’s standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services.
*Other names and brands may be claimed as the property of others.

  • Enhanced the RTL Analyzer as follows:
    • Added filtering options so that you can filter your netlist and view only a specified logic path.
    • Added Expand Connections option so you can expand a selected pin and reveal the next connected node.
    • Added capability to save and restore your last view when you relaunch RTL Analyzer. Changing your RTL and recompiling the project invalidates your saved view.
  • Enhanced the Power and Thermal Calculator (PTC) as follows:
    • Added beta support for IP Wizard to help populate the PTC with resource used by an IP. This wizard replaces the I/O-IP Page of the PTC.
    • Added support for importing design hierarchies from Quartus Power Analyzer.
  • Enhanced Nios V support as follows:
    • Updated Ashling RiscFree IDE for Intel FPGA to 2022 Q4 release.
    • Enhanced the Nios V example design flow by migrating example designs to the Intel FPGA Design Store.
    • Enabled Zephyr RTOS for Nios V/m.
  • For F-tile–based IP, added simulation support for Aldec Active-HDL and Aldec Riviera-PRO* simulation tools.
  • Enhanced System Console GUI with the ability to customize the workspace layout and save your customizations.
  • Added Tile Assignment Editor for user-defined Dynamic Reconfiguration (DR) Group specification for F-tile designs.

Bug Fixes
Intel Quartus Prime Pro Edition Software Version 22.4 also includes bug fixes. Review Software Issues Resolved on page 17 and Software Patches Included in this Release on page 18 to see if this version contains fixes for or otherwise resolves any of your customer service requests.

Changes to Software Behavior
This section documents instances in which the behavior and default settings of the Intel Quartus Prime Pro Edition software have been changed from earlier releases of the Intel Quartus Prime Pro Edition software.
Intel Quartus Prime Pro Edition has the following changes:

  • Updated the timing model for some Intel Agilex F-Series with a -4F speed grade.
    The updated timing model corrects for a discrepancy discovered when these devices run at 0° C.
    The following Intel Agilex F-Series devices are affected by this updated timing model:

    • AGFA014R24, AGFA019R25, AGFA022R25, AGFA023R25, AGFA027R25
    • AGFB014R24, AFGB019R25, AGFB022R25, AGFB023R25, AGFB027R25
    • AGFC019R25, AGFC023R25
    • AGFD019R25, AGFD023R25

For more information about this timing model update, refer to “Why are there functional failures when using Intel Agilex F-Series -4F devices in the Intel Quartus Prime Pro Edition Software version 22.3 and earlier?” in the Intel FPGA Knowledge Base.
Refer to the Intel Quartus Prime Default Settings File (.qdf), <Quartus Prime installation directory>/quartus/bin/assignment_defaults.qdf, for a list of all the default assignment settings for the latest version of the Intel Quartus Prime software.

Deprecated Features and Functions
The functions and features listed in this section have been deprecated but not removed from Intel Quartus Prime Pro Edition Version 22.4 or earlier. Migrate your tools and processes to use the replacement or alternate features and functions before the deprecated features and functions are removed. Features and Functions Deprecated as of Intel Quartus Prime Pro Edition Version 22.4 No Intel Quartus Prime features or functions have been deprecated in Intel Quartus Prime Pro Edition Version 22.4. Features and Functions Deprecated as of Intel Quartus Prime Pro Edition Version 22.3 The Intel FPGA SDK for OpenCL™ software product is deprecated. Intel is discontinuing the Intel FPGA SDK for OpenCL software product. Refer to the Product Discontinuation Notice PDN2219.
As an alternative, use the Intel oneAPI Base Toolkit, which provides core tools and libraries for developing high-performance, data-centric applications across diverse architectures. It features an industry-leading C++ compiler that implements SYCL, an evolution of C++ for heterogeneous computing. For more information, refer to the Intel oneAPI Base Toolkit web page. To migrate your OpenCL FPGA designs to SYCL, review Migrating OpenCL FPGA Designs to SYCL* guide that demonstrates important differences between OpenCL and SYCL for FPGA and provides steps to migrate your OpenCL designs.

  • Features and Functions Deprecated as of Intel Quartus Prime Pro Edition Version 22.2
  • No Intel Quartus Prime features or functions have been deprecated in Intel Quartus Prime Pro Edition Version 22.2.
  • Features and Functions Deprecated as of Intel Quartus Prime Pro Edition Version 22.1
  • No Intel Quartus Prime features or functions have been deprecated in Intel Quartus Prime Pro Edition Version 22.1.

Removed Features and Functions

  • The functions and features listed in this section have been removed from Intel Quartus Prime Pro Edition Version 22.4 or earlier.
  • Features and Functions Removed from Intel Quartus Prime Pro Edition Version 22.4
  • No Intel Quartus Prime features or functions have been removed from Intel Quartus Prime Pro Edition Version 22.4.
  • Features and Functions Removed from Intel Quartus Prime Pro Edition Version 22.3
  • No Intel Quartus Prime features or functions have been removed from Intel Quartus Prime Pro Edition Version 22.3.
  • Features and Functions Removed from Intel Quartus Prime Pro Edition Version 22.2
  • No Intel Quartus Prime features or functions have been removed from Intel Quartus Prime Pro Edition Version 22.2.
  • Features and Functions Removed from Intel Quartus Prime Pro Edition Version 22.1

Removed support for the following operating systems:

  • CentOS* 7.5
  • Red Hat Enterprise Linux 7
  • Windows Server* 2012
  • Removed support for Siemens EDA ModelSim SE.
    Use Siemens EDA Questa* Advanced Simulator instead.

Intel FPGA IP Regeneration
The following Intel FPGA IPs have major version updates and must be regenerated in Intel Quartus Prime Pro Edition Version 22.4:

  • E-Tile Hard IP for Ethernet Intel FPGA IP
  • E-Tile Ethernet IP for Intel Agilex FPGA
  • Low Latency Ethernet 10G MAC Intel FPGA IP
  • 1G/2.5G/5G/10G Multirate Ethernet PHY Intel FPGA IP
  • Interlaken (2nd Generation) Intel FPGA IP
  • E-Tile CPRI PHY Intel FPGA IP
  • P-Tile Avalon Streaming Intel FPGA IP for PCI Express
  • F-Tile Auto-Negotiation and Link Training for Ethernet Intel FPGA IP
  • F-Tile Ethernet Intel FPGA Hard IP
  • R-Tile Avalon Streaming Intel FPGA IP for PCI Express
  • F-Tile Avalon Streaming Intel FPGA IP for PCI Express
  • F-Tile Serial Lite IV Intel FPGA IP
  • F-Tile Interlaken Intel FPGA IP
  • F-Tile Ethernet Multirate Intel FPGA IP
  • 5G LDPC-V Intel FPGA IP
  • F-Tile Multichannel DMA Intel FPGA IP for PCI Express
  • P-Tile Multichannel DMA Intel FPGA IP for PCI Express
  • Serial Lite IV Intel FPGA IP
  • External Memory Interfaces (EMIF) IP
  • JESD204C Intel FPGA IP

Operating System Support

Information about operating system support for the Intel Quartus Prime Design Suite is available on the Operating System Support page of the Intel FPGA website.

Microsoft Windows Requirements
For some Microsoft Windows operating systems, Intel Quartus Prime Design Suite requires a specific level of operating system or other settings as follows:

Table 1. Microsoft Windows Requirements

Operating System Required Version Level or Other Requirements
Windows 10 Windows 10 Version 1607 or later.

Windows 10 Version 1809 or later is recommended.

Windows 11| N/A
Windows Server* 2016| N/A
Windows Server 2019| N/A

Operating System Support Changes in Intel Quartus Prime Pro Edition Version 22.4
There are no operating system support changes in Intel Quartus Prime Pro Edition Version 22.4.
Operating System Support Changes in Intel Quartus Prime Pro Edition Version 22.3
Support for the following operating systems is added as of Intel Quartus Prime Pro Edition Version 22.3:

  • Red Hat Enterprise Linux* 8.4
  • Red Hat Enterprise Linux 8.6
  • Ubuntu* Linux 22.04 LTS
  • Windows 11

Support for the following operating systems is deprecated as of Intel Quartus Prime Pro Edition Version 22.3:

  • Windows Server 2016
  • Windows 10 Version 1607

Later version of Windows 10 remain supported. Migrate your Windows 10 installation to Windows 10 Version 1809 or later.
Support for these operating systems might be removed in a future release.
Support for the following operating systems is removed as of Intel Quartus Prime Pro Edition Version 22.3:

  • CentOS Linux 8.2
  • Red Hat Enterprise Linux 8.2

Operating System Support Changes in Intel Quartus Prime Pro Edition Version 22.2
There are no operating system support changes in Intel Quartus Prime Pro Edition Version 22.2.
Operating System Support Changes in Intel Quartus Prime Pro Edition Version 22.1
Support for the following operating systems is removed as of Intel Quartus Prime Pro Edition Version 22.1:

  • CentOS Linux 7.5
  • CentOS Linux 8.0(1)
  • CentOS Linux 8.1(1)
  • Red Hat Enterprise Linux 7
  • Red Hat Enterprise Linux 8.0(2)
  • Red Hat Enterprise Linux 8.1(2)
  • Windows Server 2012
  1. CentOS Linux 8.2 remains supported by Intel Quartus Prime Pro Edition Version 22.1
  2. Red Hat* Enterprise Linux 8.2 remains supported by Intel Quartus Prime Pro Edition Version 22.1

Related Information
Operating System Support

Disk Space and Memory Recommendations

A full installation of the Intel Quartus Prime Pro Edition software requires up to 140 GB of available disk space.
Configure your system to provide additional virtual memory equal to the recommended physical RAM that is required to process your design. This additional virtual memory effectively doubles the total effective memory available to process
your design.
Note: Peak virtual memory might exceed these recommendations. These recommendations are based on the amount of physical memory required to achieve runtime within 10% of that achieved on hardware with an infinite amount of RAM.
Table 2. Memory Requirements for Processing Intel Agilex Designs

These requirements are the same for both Windows and Linux installations.

Family Device Recommended Physical RAM
Intel Agilex AGFA022, AGFA023, AGFA027 64 GB
  AGFB022, AGFB023, AGFB027
  AGFC023
  AGFD023
  AGIA023, AGIA035, AIGA040
  AGIB022, AGIB023, AGIB027, AGIB041
  AGIC023, AGIC035, AGIC040
  AGID023, AGID041
  AGFA019, AGFB019, AGFC019, AGFD019, AGIB019, AGID019 48 GB
  AGFA006, AGFA008, AGFA012, AGFA014 32 GB
  AGFB006, AGFB008, AGFB012, AGFB014

Table 3. Memory Requirements for Processing Intel Arria® 10 Designs
These requirements are the same for both Windows and Linux installations.

Family Device Recommended Physical RAM
Intel Arria® 10 10AT115, 10AX115 48 GB
10AT090, 10AX090 44 GB
10AS066, 10AX066 32 GB
10AS057, 10AX057 30 GB
10AS048, 10AX048 28 GB
10AS032, 10AX032 24 GB
10AS027, 10AX027 22 GB
10AS022, 10AX022 20 GB
10AS016, 10AX016 18 GB

Table 4. Memory Requirements for Processing Intel Cyclone® 10 GX Designs
These requirements are the same for both Windows and Linux installations.

Family Device Recommended Physical RAM
Intel Cyclone® 10 GX 10CX85, 10CX105, 10CX150, 10CX220 18 GB

Table 5. Memory Requirements for Processing Intel Stratix® 10 Designs
These requirements are the same for both Windows and Linux installations.

Family Device Recommended Physical RAM
Intel Stratix® 10 1SD21BP, 1SD280P, 1SG10MH, 1SG210H, 1SG211H,

1SG250H, 1SG250L, 1SG280H, 1SG280L, 1SM21BE,

1SM21BH, 1SM21CH, 1ST210E, 1ST250E, 1ST280E,

1SX210H, 1SX250H, 1SX250L, 1SX280H, 1SX280L

| 64 GB
1SG165H, 1SG166H, 1SM16BE, 1SM16BH, 1SM16CH,

1ST165E, 1SX165H

| 48 GB
1SD110P, 1SG040H, 1SG065H, 1SG085H, 1SG110H,

1ST040E, 1ST085E, 1ST110E, 1SX065H,

1SX085H,1SX110H, 1SX040H

| 32 GB

Intel Quartus Prime Licensing Information
If you use a floating license with Intel Quartus Prime Version 22.4, ensure that you use the most recent version of the FlexLM license daemon.
For more information about Intel Quartus Prime licensing, refer to Intel FPGA Software Installation and Licensing.

Related Information

  • Intel FPGA Software Installation and Licensing
  • FlexLM License Daemons for Intel FPGA Software

Device Support and Pin-Out Status

Table 6. Final Device Support
Final compilation, simulation, timing analysis, and programming support are available for the devices listed in this table. These devices have finalized device models, bitstream, and firmware.

Device Family Devices
Intel Agilex AGFA012R24B, AGFA014R24B, AGFA019R25A, AGFA022R25A, AGFA023R25A,

AGFA027R25A

AGFB012R24B, AGFB014R24B, AGFB019R25A, AGFB022R25A, AGFB023R25A, AGFB027R25A

AGFC019R25A, AGFC023R25A AGFD019R25A, AGFD023R25A

Intel Arria 10| 10AS016, 10AS022, 10AS027, 10AS032, 10AS048, 10AS057,10AS066

10AT090, 10AT115

10AX016, 10AX022, 10AX027, 10AX032, 10AX048, 10AX057, 10AX066, 10AX090,

10AX115

Intel Cyclone 10 GX| 10CX085, 10CX105, 10CX150, 10CX220
Intel Stratix 10| 1SD110P, 1SD21BP, 1SD280P

1SG040H, 1SG065H, 1SG085H, 1SG10MH, 1SG110H, 1SG165H, 1SG166H, 1SG210H,

1SG211H, 1SG250H, 1SG250L, 1SG280H, 1SG280L

1SM16BE, 1SM16BH, 1SM16CH, 1SM21BE, 1SM21BH, 1SM21CH,

1ST040E, 1ST085E, 1ST110E, 1ST165E, 1ST210E, 1ST250E, 1ST280E

1SX040H, 1SX065H, 1SX085H, 1SX110H, 1SX165H, 1SX210H, 1SX250H, 1SX250L,

1SX280H, 1SX280L

Table 7. Preliminary Device Support
Full compilation, simulation, timing analysis, and programming support are available for the devices listed in this table.

Device Family Devices
Intel Agilex AGFA012R24A, AGFA012R24C-AA, AGFA014R24A, AGFA014R24A-R0,

AGFA014R24C-AA,
 | AGFA022R24C, AGFA022R31C, AGFA022R31C-AA, AGFA023R25A-R0, AGFA027R24C,
 | AGFA027R24C-R0, AGFA027R24C-R2, AGFA027R25A-R0, AGFA027R31C,
 | AGFA027R31C-AA, AGFA027R31C-R0
 | AGFB012R24A, AGFB012R24C-AA, AGFB014R24A, AGFB014R24A-R0, AGFB014R24C-AA,
 | AGFB022R24C, AGFB022R31C, AGFB022R31C-AA, AGFB023R25A-R0, AGFB027R24C,
 | AGFB027R24C-R0, AGFB027R24C-R2, AGFB027R25A-R0, AGFB027R31C,
 | AGFB027R31C-AA, AGFB027R31C-R0
 | AGFC023R25A-R0
 | AGFD023R25A-R0
 | AGIA023R18A-R0, AGIA040R39A-R0
 | AGIB022R31B, AGIB022R31B-AA, AGIB023R18A-R0, AGIB027R29A-R0, AGIB027R29A-R1,
 | AGIB027R29A-R2, AGIB027R29A-R3, AGIB027R31B, AGIB027R31B-AA, AGIB027R31B-R0
 | AGIC023R18A-R0, AGIC040R39A-R0
 | AGID023R18A-R0
Intel Stratix 10| 1SD110P-S1
 | 1SG280H-S3, 1SG280L-S3
 | 1SX280H-S3, 1SX280L-S3

Table 8. Advance Device Support
Compilation, simulation, and timing analysis support are provided for these devices. The compiler generates pinout information for these devices in this release, but does not generate programming files.

Device Family Devices
Intel Agilex AGFA006R16A, AGFA006R24C, AGFA008R16A, AGFA008R24C, AGFA012R24C,

AGFA014R24C, AGFA019R24C, AGFA019R31C, AGFA023R24C, AGFA023R31C
 | AGFB006R16A, AGFB006R24C, AGFB008R16A, AGFB008R24C, AGFB012R24C,
 | AGFB014R24C, AGFB019R24C, AGFB019R31C, AGFB023R24C, AGFB023R31C
 | AGFC019R24C, AGFC019R31C, AGFC023R24C, AGFC023R31C
 | AGFD019R24C, AGFD019R31C, AGFD023R24C, AGFD023R31C
 | AGIA035R39A, AGIA040R39A
 | AGIB019R18A, AGIB019R31B, AGIB022R29A, AGIB023R18A, AGIB023R31B,
 | AGIB027R29A, AGIB027R29B, AGIB041R29D-R0
 | AGIC035R39A, AGIC040R39A
 | AGID019R18A, AGID019R31B, AGID023R18A, AGID023R31B, AGID041R29-R0

Table 9. Initial Device Support
Compilation, simulation, and timing analysis support are provided for these devices. Programming files and pinout information are not generated for these devices in this release.

Device Family Devices

No devices with this status in Intel Quartus Prime Pro Edition Version 22.4.

Changes in Device Support

  • Starting with Intel Quartus Prime Version 20.1, a new device support level was introduced: Preliminary device support.
  • For devices with Preliminary device support, Intel Quartus Prime provides full compilation, simulation, timing analysis, and programming support but the device models, bitstreams, and firmware for the devices are not finalized.
  • Devices with Final device support (previously Full) have finalized device models, bitstreams, and firmware.
  • The definitions of Initial and Advance device support levels are unchanged.
  • For information about known device issues and workarounds, refer to the Intel FPGA Knowledge Base.

New Device Support
Support for the following devices is added to Intel Quartus Prime Pro Edition Version 22.4 with Advance device support:

  • AGIB041R29D-R0
  • AGID041R29D-R0

Changed Device Support
Support for the following devices moves from Advance device support to Preliminary device support:

  • AGFA022R24C, AGFA022R31C, AGFA027R24C, AGFA027R31C
  • AGFB022R24C, AGFB022R31C, AGFB027R24C, AGFB027R31C
  • AGIB022R31B, AGIB027R31B

F-Tile Support Changes
For Intel Agilex designs with F-Tile IPs that were developed with Intel Quartus Prime Pro Edition Version 22.1 or earlier, you must regenerate your F-Tile IP in Intel Quartus Prime Pro Edition Version 22.2 or later.
The following devices are affected:

  • AGFA006R16A, AGFA008R16A, AGFA022R24C, AGFA022R31C, AGFA027R24C, AGFA027R31C
  • AGFB006R16A, AGFB008R16A, AGFB022R24C, AGFB022R31C, AGFB027R24C, AGFB027R31C
  • AGIB022R29A, AGIB022R31B, AGIB027R29A, AGIB027R31B

Timing Model, Power Model, and Device Status
Only devices with a timing model, power model, and device status of Final are suitable for production systems.

Table 10. Timing Model, Power Model, and Device Status for Intel Agilex Devices

Device Family| Device| Timing Model Status| Power Model Status| Device Status
---|---|---|---|---
Intel Agilex| AGFC023R25A-AE, AGFD023R25A-AE| Final – 22.3| Final – 22.3| Final – 22.3
 | AGFA019R25A, AGFA023R25A| Final – 22.2| Final – 22.2| Final – 22.2
 | AGFB019R25A, AGFB023R25A|  |  |
 | AGFC019R25A, AGFC023R25A|  |  |
 | AGFD019R25A, AGFD023R25A|  |  |
 | AGFA012R24B, AGFA014R24B, AGFA022R25A, AGFA027R25A| Final – 21.3| Final – 21.3| Final – 21.3
 | AGFB012R24B, AGFB014R24B,|  |  |
 | AGFB022R25A, AGFB027R25A|  |  |
 | AGFA006R16A, AGFA006R24C,| Preliminary| Preliminary| Preliminary
 | AGFA008R16A, AGFA008R24C,|  |  |
 | AGFA012R24C, AGFA012R24C-AA,|  |  |
 | AGFA014R24C, AGFA014R24C-AA|  |  |
 | AGFA019R24C, AGFA019R31C,|  |  |
 | AGFA022R24C, AGFA022R31C,|  |  |
 | AGFA022R31C-AA, AGFA023R24C,|  |  |
 | AGFA023R31C, AGFA027R24C,|  |  |
 | AGFA027R31C, AGFA027R31C-AA|  |  |
 | AGFB006R16A, AGFB006R24C,|  |  |
 | AGFB008R16A AGFB008R24C,|  |  |
 | AGFB012R24C, AGFB012R24C-AA,|  |  |
 | AGFB014R24C, AGFB014R24C-AA,|  |  |
 | AGFB019R24C, AGFB019R31C,|  |  |
 | AGFB022R24C, AGFB022R31C,|  |  |
 | AGFB022R31C-AA, AGFB023R24C,|  |  |
 | AGFB023R31C, AGFB027R24C,|  |  |
 | AGFB027R31C, AGFB027R31C-AA|  |  |
 | AGFC019R24C, AGFC019R31C,|  |  |
 | AGFC023R24C, AGFC023R31C|  |  |
 | AGFD019R24C, AGFD019R31C,|  |  |
 | AGFD023R24C, AGFD023R31C|  |  |
 | AGIA035R39A, AGIA040R39A|  |  |
 | AGIB019R18A, AGIB019R31B,|  |  |
 | AGIB022R29A, AGIB022R31B,|  |  |
 | AGIB022R31B-AA, AGIB023R18A,|  |  |
 | AGIB023R31B, AGIB027R29A,|  |  |
 | AGIB027R29B, AGIB027R31B,|  |  |
 | AGIB027R31B-AA|  |  |
 | AGIC035R29A, AGIC040R39A|  |  |
 | AGID019R18A, AGID019R31B,|  |  |
 | AGID023R18A, AGID023R31B|  |  |
 | AGFA014R24A-R0, AGFA023R25A-R0,| Preliminary| Preliminary| Preliminary
 | AGFA027R24C-R0, AGFA027R24C-R2,|  |  |
 | AGFA027R25A-R0, AGFA027R31C-R0|  |  |
 | AGFB014R24A-R0, AGFB023R25A-R0,|  |  |
 | AGFB027R24C-R0, AGFB027R24C-R2,|  |  |
 | AGFB027R25A-R0, AGFA027R31C-R0|  |  |
 | AFGC023R25A-R0|  |  |
 | AFGD023R25A-R0|  |  |
 | AGIA023R18A-R0, AGIA040R39A-R0|  |  |
 | AGIB023R18A-R0, AGIB027R29A-R0,|  |  |
 | AGIB027R29A-R1, AGIB027R29A-R2,|  |  |
 | AGIB027R29A-R3, AGIB027R31B-R0,|  |  |
 | AGIB041R29D-R0|  |  |
 | AGIC023R18A-R0, AGIC040R39A-R0|  |  |
 | AGID023R18A-R0, AGID041R29D-R0|  |  |

Table 11. Timing Model, Power Model, and Device Status for Intel Arria 10 Devices

Device Family| Device| Timing Model Status| Power Model Status| Device Status
---|---|---|---|---
Intel Arria 10| 10AX016, 10AS016, 10AX022, 10AS022,

10AX027, 10AS027, 10AX032, 10AS032

| Final – 16.1 (3)

(4)

| Final – 17.0| Final – 17.0
10AX048, 10AS048| Final – 16.0.2 (4)| Final – 17.0| Final – 17.0
10AX057, 10AS057, 10AX066, 10AS066,

10AT090, 10AX090

| Final – 16.0.1 (4)| Final – 16.0.1| Final – 16.0.1
10AX115, 10AT115| Final – 16.0 (4)| Final – 16.0| Final – 16.0

Table 12. Timing Model, Power Model, and Device Status for Intel Cyclone 10 Devices

Device Family| Device| Timing Model Status| Power Model Status| Device Status
---|---|---|---|---
Intel Cyclone 10 GX| 10CX085, 10CX105, 10CX150, 10CX220| Final – 17.0| Final – 18.0| Final – 18.0

Table 13. Timing Model, Power Model, and Device Status for Intel Stratix 10 Devices

Device Family| Device| Timing Model Status| Power Model Status| Device Status
---|---|---|---|---
Intel Stratix 10| 1SG280L, 1SX280L, 1SG250L, 1SX250L| Final – 18.0.1| Final – 18.1.1| Final – 18.1.1
1SG280H, 1SX280H, 1SG250H, 1SX250H,

1SG210H, 1SX210H, 1SG165H, 1SX165H,

1SG110H, 1SX110H, 1SG085H, 1SX085H

| Final – 18.1.1| Final – 18.1.1| Final – 18.1.1
1ST280E, 1ST250E| Final – 18.1.1| Final – 19.4| Final – 19.4
1SM21BH, 1SM21CH, 1SM16BH, 1SM16CH| Final – 19.1| Final – 19.1| Final – 19.1
1SG10MH, 1SG166H, 1SG211H| Final – 19.1| Final – 19.3| Final – 19.3
1ST210E, 1SM21BE, 1ST165E, 1SM16BE| Final – 19.2| Final – 19.4| Final – 19.4
1SD280P, 1ST040E, 1ST085E, 1ST110E| Final – 20.1| Final – 20.1| Final – 20.1
1SD110P| Final – 20.2| Final – 20.2| Final – 20.2
1SD21BP| Final – 20.3| Final – 20.3| Final – 20.3
1SG040H, 1SX040H| Final – 20.3| Final – 20.3| Final – 21.1
1SG065H, 1SX065H| Final – 21.2| Final – 21.2| Final – 21.2

    1. Devices with a -1 speed grade were finalized in Intel Quartus Prime software version 17.0
  • (4) All military grade devices were finalized in Intel Quartus Prime software version 18.0.1.

IBIS Models

Table 14. IBIS Model Status for the Intel Quartus Prime Pro Edition Software Release Version 22.4

Device Family IBIS Model Status
Intel Agilex Refer to [IBIS Models for Intel

Devices](https://www.intel.com/content/www/us/en/support/programmable/support- resources/board-layout/ibs-ibis-index.html).
Intel Arria 10
Intel Cyclone 10 GX
Intel Stratix 10

Starting with the Intel Agilex device family, IBIS models are available only online at the following web page: IBIS Models for Intel FPGA Devices. This page is updated as IBIS models for devices become available or are updated.

EDA Interface Information

Table 15. Synthesis Tools Supporting the Intel Quartus Prime Pro Edition Software Release Version 22.4

Synthesis Tools Version
Siemens EDA Precision* FPGA Synthesis Siemens EDA Precision FPGA Synthesis

versions that support the Intel Quartus Prime software are typically released after the release of the Intel Quartus Prime software. Contact Siemens EDA for versions of Siemens EDA Precision FPGA Synthesis that support Intel Quartus Prime Pro Edition Software Version 22.4.
Synopsys Synplify, Synplify Pro*, and Synplify Premier| Synopsys Synplify, Synplify Pro, and Synplify Premier versions that support the Intel Quartus Prime software are typically released after the release of the Intel Quartus Prime software. Contact Synopsys for versions of Synopsys Synplify, Synplify Pro, and Synplify Premier that support Intel Quartus Prime Pro Edition Software Version 22.4.

Table 16. Simulation Tools Supporting the Intel Quartus Prime Pro Edition Software Release Version 22.4
The following simulation tools support RTL and functional gate-level simulation. Only 64-bit simulation tools are supported.

Simulation Tools Version
Aldec Active-HDL 13.0 (Windows only)
Aldec Riviera-PRO 2021.10
Cadence Xcelium Parallel Logic Simulation 21.09.003 (Linux* only)
Questa-Intel FPGA Edition 2022.1
Siemens EDA Questa Advanced Simulator 2021.4
Synopsys VCS* and VCS MX S-2021.09-1 (Linux only)

Questa-Intel FPGA Edition requires FlexLM licensing daemon version 11.16.4.0 (or later). You can obtain the licensing daemon from the FlexLM License Daemons for Intel FPGA Software web page.
You can obtain the Intel FPGA Edition of simulation tools from the Download Center for FPGAs.

Operating System Support for Questa-Intel FPGA Edition Version 2022.2

  • Red Hat Enterprise Linux 8
  • SUSE* Linux Enterprise Server 12
  • SUSE Linux Enterprise Server 15
  • Windows 10 (64-bit)

Related Information

  • Intel Quartus Prime Pro Edition Design Software for Linux
  • Intel Quartus Prime Pro Edition Design Software for Windows

Antivirus Verification

The Intel Quartus Prime software release version 22.4 has been verified virus free with the following software:

Antivirus Verification Software
McAfee VirusScan Command Line for Linux64 Version: 7.0.0.477
AV Engine version: 6300.9389 for Linux64.
Dat set version: 10559 created Dec 12 2022

Software Issues Resolved

The following customer service requests were fixed or otherwise resolved in Intel Quartus Prime Pro Edition Version 22.4:
Table 17. Issues Resolved in the Intel Quartus Prime Pro Edition Version 22.4

Customer Service Request Numbers

00387444| 00553391| 00634869| 00644742| 00661097| 00669572| 00673194| 00692360
00694974| 00698210| 00698723| 00702882| 00702926| 00703707| 00704117| 00706447
00708964| 00710869| 00712835| 00714701| 00716383| 00726915| 00729961| 00731682
00733009| 00733051| 00733220| 00733414| 00733701| 00736181| 00736498| 00736688
00737681| 00737702| 00737956| 00738733| 00739075| 00740688| 00741731| 00742654
00743029| 00743329| 00745149| 00745934| 00746401| 00748148| 00748465| 00748760
00749380| 05355807| 05640050| 11340561|  |  |  |

Software Patches Included in this Release
Intel Quartus Prime Pro Edition Version 22.4 contains the following patches for previous versions of Intel Quartus Prime Pro Edition software:

Table 18. Software Patches included in Intel Quartus Prime Pro Edition Version 22.4

Software Version Patch Customer Service Request Number
Intel Quartus Prime Version 22.3 0.27fw
Intel Quartus Prime Version 22.3 0.25 00745149
Intel Quartus Prime Version 22.3 0.21 00743329
Intel Quartus Prime Version 22.3 0.19
Intel Quartus Prime Version 22.3 0.18 00735205
Intel Quartus Prime Version 22.3 0.15
Intel Quartus Prime Version 22.3 0.06
Intel Quartus Prime Version 22.3 0.04
Intel Quartus Prime Version 22.3 0.01
Intel Quartus Prime Version 22.2 0.39fw
Intel Quartus Prime Version 22.2 0.38 00736498
Intel Quartus Prime Version 22.2 0.36 00735205
Intel Quartus Prime Version 22.2 0.35 00716738
Intel Quartus Prime Version 22.2 0.33
Intel Quartus Prime Version 22.2 0.31 00731682
Intel Quartus Prime Version 22.2 0.3
Intel Quartus Prime Version 22.2 0.29fw 00706447
Intel Quartus Prime Version 22.2 0.28fw
Intel Quartus Prime Version 22.1 0.40fw
Intel Quartus Prime Version 22.1 0.37 00733220
Intel Quartus Prime Version 22.1 0.34fw
Intel Quartus Prime Version 22.1 0.32fw 00715716
Intel Quartus Prime Version 21.4 0.80fw 00000000
Intel Quartus Prime Version 21.4 0.78 00736498
Intel Quartus Prime Version 21.4 0.77fw 00706447
Intel Quartus Prime Version 21.4 0.72 00000000
Intel Quartus Prime Version 21.4 0.69 00698723
Intel Quartus Prime Version 21.4 0.66 00731682
Intel Quartus Prime Version 21.4 0.64
Intel Quartus Prime Version 21.3 0.5 00735343
Intel Quartus Prime Version 21.2 0.49 00733220

continued…
Software Version| Patch| Customer Service Request Number
---|---|---
Intel Quartus Prime Version 21.2| 0.48| –
Intel Quartus Prime Version 21.2| 0.47| 00716383
Intel Quartus Prime Version 21.2| 0.43| 00698723
Intel Quartus Prime Version 21.1| 0.63| 05418969
Intel Quartus Prime Version 20.4| 0.53| –

Latest Known Intel Quartus Prime Software Issues

  • Information about known issues that affect Intel Quartus Prime Pro Edition Version 22.4 is available in the Intel FPGA Knowledge Base.
  • For the latest information about issues that affect Intel Quartus Prime Pro Edition Version 22.4, review the Intel FPGA Knowledge Base articles that apply to Intel Quartus Prime Pro Edition Version 22.4.
  • You can find known issue information for previous versions of the Quartus Prime software on the Intel FPGA Knowledge Base web page.
  • Information about known software issues that affect previous versions of the Quartus
  • II software is available on the Intel Quartus Prime and Quartus II Software Support web page.
  • Information about issues affecting the Intel FPGA IP Library is available in the release notes for each IP. You can find the IP release notes on the Intel FPGA Documentation Index web page.

Related Information

  • Intel FPGA Knowledge Base
  • Intel Quartus Prime and Quartus II Software Support
  • Intel FPGAs and Programmable Devices Release Notes

Intel Quartus Prime Pro Edition Software and Device Support Release Notes Archives
For the latest and previous versions of these release notes, refer to Intel Quartus Prime Pro Edition Software and Device Support Release Notes. If a software version is not listed, the release notes for the previous software version applies.

Intel Quartus Prime Pro Edition Software Release Version

Document Revision History

Document Version Intel Quartus Prime Version Changes
2022.12.19 22.4 •    Initial release.

Intel Quartus Prime Pro Edition: Version 22.4 Software and Device Support Release Notes

References

Read User Manual Online (PDF format)

Loading......

Download This Manual (PDF format)

Download this manual  >>

Related Manuals